OpenCores
URL https://opencores.org/ocsvn/t6507lp/t6507lp/trunk

Subversion Repositories t6507lp

[/] [t6507lp/] [trunk/] [rtl/] [verilog/] [t6507lp.v] - Diff between revs 136 and 187

Go to most recent revision | Show entire file | Details | Blame | View Log

Rev 136 Rev 187
Line 6... Line 6...
//// http://www.opencores.org/cores/t6507lp/                            ////
//// http://www.opencores.org/cores/t6507lp/                            ////
////                                                                    ////
////                                                                    ////
//// Description                                                        ////
//// Description                                                        ////
//// Implementation of a 6507-compatible microprocessor                 ////
//// Implementation of a 6507-compatible microprocessor                 ////
////                                                                    ////
////                                                                    ////
//// To Do:                                                             ////
//// TODO:                                                              ////
//// - Everything                                                       ////
//// - Nothing                                                          ////
////                                                                    ////
////                                                                    ////
//// Author(s):                                                         ////
//// Author(s):                                                         ////
//// - Gabriel Oshiro Zardo, gabrieloshiro@gmail.com                    ////
//// - Gabriel Oshiro Zardo, gabrieloshiro@gmail.com                    ////
//// - Samuel Nascimento Pagliarini (creep), snpagliarini@gmail.com     ////
//// - Samuel Nascimento Pagliarini (creep), snpagliarini@gmail.com     ////
////                                                                    ////
////                                                                    ////
Line 86... Line 86...
                .alu_opcode     (alu_opcode),
                .alu_opcode     (alu_opcode),
                .alu_a          (alu_a),
                .alu_a          (alu_a),
                .alu_enable     (alu_enable)
                .alu_enable     (alu_enable)
        );
        );
 
 
        T6507LP_ALU T6507LP_ALU (
        t6507lp_alu t6507lp_alu (
                .clk            (clk),
                .clk            (clk),
                .rst_n          (reset_n),
                .reset_n        (reset_n),
                .alu_enable     (alu_enable),
                .alu_enable     (alu_enable),
                .alu_result     (alu_result),
                .alu_result     (alu_result),
                .alu_status     (alu_status),
                .alu_status     (alu_status),
                .alu_opcode     (alu_opcode),
                .alu_opcode     (alu_opcode),
                .alu_a          (alu_a),
                .alu_a          (alu_a),

powered by: WebSVN 2.1.0

© copyright 1999-2024 OpenCores.org, equivalent to Oliscience, all rights reserved. OpenCores®, registered trademark.