OpenCores
URL https://opencores.org/ocsvn/t6507lp/t6507lp/trunk

Subversion Repositories t6507lp

[/] [t6507lp/] [trunk/] [rtl/] [verilog/] [t6507lp_alu.v] - Diff between revs 186 and 224

Go to most recent revision | Show entire file | Details | Blame | View Log

Rev 186 Rev 224
Line 42... Line 42...
////                                                                    ////
////                                                                    ////
////////////////////////////////////////////////////////////////////////////
////////////////////////////////////////////////////////////////////////////
 
 
`include "timescale.v"
`include "timescale.v"
 
 
// TODO: verify code identation
module t6507lp_alu(
 
        clk,
module t6507lp_alu( clk, reset_n, alu_enable, alu_result, alu_status, alu_opcode, alu_a, alu_x, alu_y );
        reset_n,
 
        alu_enable,
 
        alu_result,
 
        alu_status,
 
        alu_opcode,
 
        alu_a,
 
        alu_x,
 
        alu_y
 
);
 
 
input wire       clk;
input wire       clk;
input wire       reset_n;
input wire       reset_n;
input wire       alu_enable;
input wire       alu_enable;
input wire [7:0] alu_opcode;
input wire [7:0] alu_opcode;
Line 57... Line 65...
output reg [7:0] alu_status;
output reg [7:0] alu_status;
output reg [7:0] alu_x;
output reg [7:0] alu_x;
output reg [7:0] alu_y;
output reg [7:0] alu_y;
 
 
reg [7:0] A;
reg [7:0] A;
//reg [7:0] X;
 
//reg [7:0] Y;
 
 
 
reg [7:0] STATUS;
reg [7:0] STATUS;
reg [7:0] result;
reg [7:0] result;
reg [7:0] op1;
reg [7:0] op1;
reg [7:0] op2;
reg [7:0] op2;
reg [7:0] bcdl;
reg [7:0] bcdl;
Line 92... Line 97...
                alu_x <= 0;
                alu_x <= 0;
                alu_y <= 0;
                alu_y <= 0;
        end
        end
        else if ( alu_enable == 1 ) begin
        else if ( alu_enable == 1 ) begin
                case (alu_opcode)
                case (alu_opcode)
                        ADC_IMM, ADC_ZPG, ADC_ZPX, ADC_ABS, ADC_ABX, ADC_ABY, ADC_IDX, ADC_IDY,
                        ADC_IMM, ADC_ZPG, ADC_ZPX, ADC_ABS, ADC_ABX, ADC_ABY,
                        AND_IMM, AND_ZPG, AND_ZPX, AND_ABS, AND_ABX, AND_ABY, AND_IDX, AND_IDY,
                        ADC_IDX, ADC_IDY, AND_IMM, AND_ZPG, AND_ZPX, AND_ABS,
                        ASL_ACC, EOR_IMM, EOR_ZPG, EOR_ZPX, EOR_ABS, EOR_ABX, EOR_ABY, EOR_IDX,
                        AND_ABX, AND_ABY, AND_IDX, AND_IDY, ASL_ACC, EOR_IMM,
                        EOR_IDY, LSR_ACC, ORA_IMM, ORA_ZPG, ORA_ZPX, ORA_ABS, ORA_ABX, ORA_ABY,
                        EOR_ZPG, EOR_ZPX, EOR_ABS, EOR_ABX, EOR_ABY, EOR_IDX,
                        ORA_IDX, ORA_IDY, ROL_ACC, ROR_ACC, SBC_IMM, SBC_ZPG, SBC_ZPX, SBC_ABS,
                        EOR_IDY, LSR_ACC, ORA_IMM, ORA_ZPG, ORA_ZPX, ORA_ABS,
                        SBC_ABX, SBC_ABY, SBC_IDX, SBC_IDY, LDA_IMM, LDA_ZPG, LDA_ZPX, LDA_ABS,
                        ORA_ABX, ORA_ABY, ORA_IDX, ORA_IDY, ROL_ACC, ROR_ACC,
                        LDA_ABX, LDA_ABY, LDA_IDX, LDA_IDY, PLA_IMP :
                        SBC_IMM, SBC_ZPG, SBC_ZPX, SBC_ABS, SBC_ABX, SBC_ABY,
                        begin
                        SBC_IDX, SBC_IDY, LDA_IMM, LDA_ZPG, LDA_ZPX, LDA_ABS,
 
                        LDA_ABX, LDA_ABY, LDA_IDX, LDA_IDY, PLA_IMP : begin
                                A          <= result;
                                A          <= result;
                                alu_result <= result;
                                alu_result <= result;
                                alu_status <= STATUS;
                                alu_status <= STATUS;
                        end
                        end
                        LDX_IMM, LDX_ZPG, LDX_ZPY, LDX_ABS, LDX_ABY, TAX_IMP, TSX_IMP, INX_IMP, DEX_IMP :
                        LDX_IMM, LDX_ZPG, LDX_ZPY, LDX_ABS, LDX_ABY, TAX_IMP,
                        begin
                        TSX_IMP, INX_IMP, DEX_IMP : begin
                                alu_x      <= result;
                                alu_x      <= result;
                                alu_status <= STATUS;
                                alu_status <= STATUS;
                        end
                        end
                        TXS_IMP :
                        TXS_IMP : begin
                        begin
 
                                alu_x      <= result;
                                alu_x      <= result;
                        end
                        end
                        TXA_IMP, TYA_IMP :
                        TXA_IMP, TYA_IMP : begin
                        begin
 
                                A          <= result;
                                A          <= result;
                                alu_status <= STATUS;
                                alu_status <= STATUS;
                        end
                        end
                        LDY_IMM, LDY_ZPG, LDY_ZPX, LDY_ABS, LDY_ABX, TAY_IMP, INY_IMP, DEY_IMP :
                        LDY_IMM, LDY_ZPG, LDY_ZPX, LDY_ABS, LDY_ABX, TAY_IMP,
                        begin
                        INY_IMP, DEY_IMP : begin
                                alu_y      <= result;
                                alu_y      <= result;
                                alu_status <= STATUS;
                                alu_status <= STATUS;
                        end
                        end
                        CMP_IMM, CMP_ZPG, CMP_ZPX, CMP_ABS, CMP_ABX, CMP_ABY, CMP_IDX, CMP_IDY,
                        CMP_IMM, CMP_ZPG, CMP_ZPX, CMP_ABS, CMP_ABX, CMP_ABY,
                        CPX_IMM, CPX_ZPG, CPX_ABS, CPY_IMM, CPY_ZPG, CPY_ABS :
                        CMP_IDX, CMP_IDY, CPX_IMM, CPX_ZPG, CPX_ABS, CPY_IMM,
                        begin
                        CPY_ZPG, CPY_ABS : begin
                                alu_status <= STATUS;
                                alu_status <= STATUS;
                        end
                        end
                        PHA_IMP, STA_ZPG, STA_ZPX, STA_ABS, STA_ABX, STA_ABY, STA_IDX, STA_IDY : begin
                        PHA_IMP, STA_ZPG, STA_ZPX, STA_ABS, STA_ABX, STA_ABY,
 
                        STA_IDX, STA_IDY : begin
                                alu_result <= result;
                                alu_result <= result;
                        end
                        end
                        STX_ZPG, STX_ZPY, STX_ABS : begin
                        STX_ZPG, STX_ZPY, STX_ABS : begin
                                alu_x <= result;
                                alu_x <= result;
                        end
                        end
                        STY_ZPG, STY_ZPX, STY_ABS : begin
                        STY_ZPG, STY_ZPX, STY_ABS : begin
                                alu_y <= result;
                                alu_y <= result;
                        end
                        end
                        SEC_IMP :
                        SEC_IMP : begin
                        begin
 
                                alu_status[C] <= 1;
                                alu_status[C] <= 1;
                        end
                        end
                        SED_IMP :
                        SED_IMP : begin
                        begin
 
                                alu_status[D] <= 1;
                                alu_status[D] <= 1;
                        end
                        end
                        SEI_IMP :
                        SEI_IMP : begin
                        begin
 
                                alu_status[I] <= 1;
                                alu_status[I] <= 1;
                        end
                        end
                        CLC_IMP :
                        CLC_IMP : begin
                        begin
 
                                alu_status[C] <= 0;
                                alu_status[C] <= 0;
                        end
                        end
                        CLD_IMP :
                        CLD_IMP : begin
                        begin
 
                                alu_status[D] <= 0;
                                alu_status[D] <= 0;
                        end
                        end
                        CLI_IMP :
                        CLI_IMP : begin
                        begin
 
                                alu_status[I] <= 0;
                                alu_status[I] <= 0;
                        end
                        end
                        CLV_IMP :
                        CLV_IMP : begin
                        begin
 
                                alu_status[V] <= 0;
                                alu_status[V] <= 0;
                        end
                        end
                        BRK_IMP :
                        BRK_IMP : begin
                        begin
 
                                alu_status[B] <= 1;
                                alu_status[B] <= 1;
                        end
                        end
                        PLP_IMP, RTI_IMP :
                        PLP_IMP, RTI_IMP : begin
                        begin
 
                                alu_status[C] <= alu_a[C];
                                alu_status[C] <= alu_a[C];
                                alu_status[Z] <= alu_a[Z];
                                alu_status[Z] <= alu_a[Z];
                                alu_status[I] <= alu_a[I];
                                alu_status[I] <= alu_a[I];
                                alu_status[D] <= alu_a[D];
                                alu_status[D] <= alu_a[D];
                                alu_status[B] <= alu_a[B];
                                alu_status[B] <= alu_a[B];
                                alu_status[V] <= alu_a[V];
                                alu_status[V] <= alu_a[V];
                                alu_status[N] <= alu_a[N];
                                alu_status[N] <= alu_a[N];
                                alu_status[5] <= 1;
                                alu_status[5] <= 1;
                        end
                        end
                        BIT_ZPG, BIT_ABS :
                        BIT_ZPG, BIT_ABS : begin
                        begin
 
                                alu_status[Z] <= STATUS[Z];
                                alu_status[Z] <= STATUS[Z];
                                alu_status[V] <= alu_a[6];
                                alu_status[V] <= alu_a[6];
                                alu_status[N] <= alu_a[7];
                                alu_status[N] <= alu_a[7];
                        end
                        end
                        INC_ZPG, INC_ZPX, INC_ABS, INC_ABX, DEC_ZPG, DEC_ZPX, DEC_ABS, DEC_ABX,
                        INC_ZPG, INC_ZPX, INC_ABS, INC_ABX, DEC_ZPG, DEC_ZPX,
                        ASL_ZPG, ASL_ZPX, ASL_ABS, ASL_ABX, LSR_ZPG, LSR_ZPX, LSR_ABS, LSR_ABX,
                        DEC_ABS, DEC_ABX, ASL_ZPG, ASL_ZPX, ASL_ABS, ASL_ABX,
                        ROL_ZPG, ROL_ZPX, ROL_ABS, ROL_ABX, ROR_ZPG, ROR_ZPX, ROR_ABS, ROR_ABX :
                        LSR_ZPG, LSR_ZPX, LSR_ABS, LSR_ABX, ROL_ZPG, ROL_ZPX,
 
                        ROL_ABS, ROL_ABX, ROR_ZPG, ROR_ZPX, ROR_ABS, ROR_ABX :
                        begin
                        begin
                                alu_result <= result;
                                alu_result <= result;
                                alu_status <= STATUS;
                                alu_status <= STATUS;
                        end
                        end
                        default : begin
                        default : begin
Line 203... Line 199...
 
 
always @ (*) begin
always @ (*) begin
if (alu_enable == 1) begin
if (alu_enable == 1) begin
        op1      = A;
        op1      = A;
        op2      = alu_a;
        op2      = alu_a;
        result    = alu_result;
                result    = A;
        STATUS[N] = alu_status[N];
        STATUS[N] = alu_status[N];
        STATUS[C] = alu_status[C];
        STATUS[C] = alu_status[C];
        STATUS[V] = alu_status[V];
        STATUS[V] = alu_status[V];
        STATUS[B] = alu_status[B];
        STATUS[B] = alu_status[B];
        STATUS[I] = alu_status[I];
        STATUS[I] = alu_status[I];
Line 224... Line 220...
 
 
        case (alu_opcode)
        case (alu_opcode)
                // BIT - Bit Test
                // BIT - Bit Test
                BIT_ZPG, BIT_ABS: begin
                BIT_ZPG, BIT_ABS: begin
                        result = A & alu_a;
                        result = A & alu_a;
 
                                STATUS[Z] = (result == 0) ? 1 : 0;
 
                                STATUS[N] = result[7];
                end
                end
 
 
                // BRK - Force Interrupt
                        // PLA - Pull Accumulator
                //BRK_IMP: begin
 
                //      STATUS[B] = 1'b1;
 
                //end
 
 
 
                // CLC - Clear Carry Flag
 
                //CLC_IMP: begin
 
                //      STATUS[C] = 1'b0;
 
                //end
 
 
 
                // CLD - Clear Decimal Flag
 
                //CLD_IMP: begin
 
                //      STATUS[D] = 1'b0;
 
                //end
 
 
 
                // CLI - Clear Interrupt Disable
 
                //CLI_IMP: begin
 
                //      STATUS[I] = 1'b0;
 
                //end
 
 
 
                // CLV - Clear Overflow Flag
 
                //CLV_IMP: begin
 
                //      STATUS[V] = 1'b0;
 
                //end
 
 
 
                // NOP - No Operation
 
                //NOP_IMP: begin
 
                        // Do nothing :-D
 
                //end
 
 
 
                // PLP - Pull Processor Status Register
 
                // RTI - Return from Interrupt
 
                //PLP_IMP, RTI_IMP: begin
 
                //      STATUS = alu_a;
 
                //end
 
 
 
                PLA_IMP : begin
                PLA_IMP : begin
                        result = alu_a;
                        result = alu_a;
 
                                STATUS[Z] = (result == 0) ? 1 : 0;
 
                                STATUS[N] = result[7];
                end
                end
 
 
                // STA - Store Accumulator
 
                // PHA - Push A
 
                // TAX - Transfer Accumulator to X
                // TAX - Transfer Accumulator to X
                // TAY - Transfer Accumulator to Y
                // TAY - Transfer Accumulator to Y
                TAX_IMP, TAY_IMP, PHA_IMP, STA_ZPG, STA_ZPX, STA_ABS, STA_ABX, STA_ABY, STA_IDX, STA_IDY : begin
                        // PHA - Push Accumulator
 
                        // STA - Store Accumulator
 
                        TAX_IMP, TAY_IMP, PHA_IMP, STA_ZPG, STA_ZPX, STA_ABS, STA_ABX,
 
                        STA_ABY, STA_IDX, STA_IDY : begin
                        result = A;
                        result = A;
 
                                STATUS[Z] = (result == 0) ? 1 : 0;
 
                                STATUS[N] = result[7];
                end
                end
 
 
                // STX - Store X Register
                // STX - Store X Register
                // TXA - Transfer X to Accumulator
                // TXA - Transfer X to Accumulator
                // TXS - Transfer X to Stack pointer
                // TXS - Transfer X to Stack pointer
                STX_ZPG, STX_ZPY, STX_ABS, TXA_IMP, TXS_IMP : begin
                STX_ZPG, STX_ZPY, STX_ABS, TXA_IMP, TXS_IMP : begin
                        result = alu_x;
                        result = alu_x;
 
                                STATUS[Z] = (result == 0) ? 1 : 0;
 
                                STATUS[N] = result[7];
                end
                end
 
 
                // STY - Store Y Register
                // STY - Store Y Register
                // TYA - Transfer Y to Accumulator
                // TYA - Transfer Y to Accumulator
                STY_ZPG, STY_ZPX, STY_ABS, TYA_IMP : begin
                STY_ZPG, STY_ZPX, STY_ABS, TYA_IMP : begin
                        result = alu_y;
                        result = alu_y;
 
                                STATUS[Z] = (result == 0) ? 1 : 0;
 
                                STATUS[N] = result[7];
                end
                end
 
 
                // SEC - Set Carry Flag
 
                //SEC_IMP: begin
 
                //      STATUS[C] = 1'b1;
 
                //end
 
 
 
                // SED - Set Decimal Flag
 
                //SED_IMP: begin
 
                //      STATUS[D] = 1'b1;
 
                //end
 
 
 
                // SEI - Set Interrupt Disable
 
                //SEI_IMP: begin
 
                //      STATUS[I] = 1'b1;
 
                //end
 
 
 
                // INC - Increment memory
                // INC - Increment memory
                INC_ZPG, INC_ZPX, INC_ABS, INC_ABX : begin
                INC_ZPG, INC_ZPX, INC_ABS, INC_ABX : begin
                        result = alu_a + 1;
                        result = alu_a + 1;
 
                                STATUS[Z] = (result == 0) ? 1 : 0;
 
                                STATUS[N] = result[7];
                end
                end
 
 
                // INX - Increment X Register
                // INX - Increment X Register
                INX_IMP: begin
                INX_IMP: begin
                        result = alu_x + 1;
                        result = alu_x + 1;
 
                                STATUS[Z] = (result == 0) ? 1 : 0;
 
                                STATUS[N] = result[7];
                end
                end
 
 
                // INY - Increment Y Register
                // INY - Increment Y Register
                INY_IMP : begin
                INY_IMP : begin
                        result = alu_y + 1;
                        result = alu_y + 1;
 
                                STATUS[Z] = (result == 0) ? 1 : 0;
 
                                STATUS[N] = result[7];
                end
                end
 
 
                // DEC - Decrement memory
                // DEC - Decrement memory
                DEC_ZPG, DEC_ZPX, DEC_ABS, DEC_ABX : begin
                DEC_ZPG, DEC_ZPX, DEC_ABS, DEC_ABX : begin
                        result = alu_a - 1;
                        result = alu_a - 1;
 
                                STATUS[Z] = (result == 0) ? 1 : 0;
 
                                STATUS[N] = result[7];
                end
                end
 
 
                // DEX - Decrement X register
                // DEX - Decrement X register
                DEX_IMP: begin
                DEX_IMP: begin
                        result = alu_x - 1;
                        result = alu_x - 1;
 
                                STATUS[Z] = (result == 0) ? 1 : 0;
 
                                STATUS[N] = result[7];
                end
                end
 
 
                // DEY - Decrement Y Register
                // DEY - Decrement Y Register
                DEY_IMP: begin
                DEY_IMP: begin
                        result = alu_y - 1;
                        result = alu_y - 1;
 
                                STATUS[Z] = (result == 0) ? 1 : 0;
 
                                STATUS[N] = result[7];
                end
                end
 
 
                // ADC - Add with carry
                // ADC - Add with carry
                // TODO: verify synthesis for % operand
                        ADC_IMM, ADC_ZPG, ADC_ZPX, ADC_ABS,
                ADC_IMM, ADC_ZPG, ADC_ZPX, ADC_ABS, ADC_ABX, ADC_ABY, ADC_IDX, ADC_IDY : begin
                        ADC_ABX, ADC_ABY, ADC_IDX, ADC_IDY : begin
                        if (alu_status[D] == 1) begin
                                if (!alu_status[D]) begin
                                //$display("MODO DECIMAL");
                                        {STATUS[C],result} = op1 + op2 + alu_status[C];
                                //AL = A[3:0] + alu_a[3:0] + alu_status[C];
                                        STATUS[N] = result[7];
 
                                        STATUS[Z] = (result == 0) ? 1 : 0;
 
                                        STATUS[V] = ((op1[7] == op2[7]) && (op1[7] != result[7])) ? 1 : 0;
 
                                end
 
                                else begin
                                AL = op1[3:0] + op2[3:0] + alu_status[C];
                                AL = op1[3:0] + op2[3:0] + alu_status[C];
                                //$display("op1[3:0] + op2[3:0] + alu_status[C]",op1[3:0], op2[3:0], alu_status[C]);
                                        AH = op1[7:4] + op2[7:4];
                                //AH = A[7:4] + alu_a[7:4];
                                        STATUS[Z] = (AL == 0 && AH == 0) ? 1 : 0;
                                AH = op1[7:4] + op2[7:4] + AL[4];
                                        if (AL > 9) begin
                                //$display("op1[7:4] + op2[7:4] + AL[4]",op1[7:4], op2[7:4], AL[4]);
                                                bcdl = AL - 6;
                                if (AL > 9) bcdl = AL + 6;
                                                bcdh = AH + 1;
                                else bcdl = AL;
                                        end
                                STATUS[Z] =
                                        else begin
                                if (bcdh > 9)
                                                bcdl = AL;
 
                                                bcdh = AH;
 
                                        end
 
                                        STATUS[N] = bcdh[3];
 
                                        STATUS[V] = ((op1[7] == op2[7]) && (op1[7] != bcdh[3])) ? 1 : 0;
 
                                        if (bcdh > 9) begin
                                        bcdh2 = bcdh + 6;
                                        bcdh2 = bcdh + 6;
                                else bcdh2 = bcdh;
 
                                //$display("bcdh2 = %d", bcdh2);
 
                                //$display("bcdl = %d", bcdl);
 
                                STATUS[C] = AH[4];
 
                                result = {bcdh2[3:0],bcdl[3:0]};
 
                        end
                        end
                        else begin
                        else begin
                                //$display("MODO NORMAL");
                                                bcdh2 = bcdh;
                                {STATUS[C],result} = op1 + op2 + alu_status[C];
                                        end
 
                                        STATUS[C] = bcdh2[4] || bcdh2[5];
 
                                        result = {bcdh2[3:0],bcdl[3:0]};
                        end
                        end
 
 
                        if ((op1[7] == op2[7]) && (op1[7] != result[7]))
 
                                STATUS[V] = 1;
 
                        else
 
                                STATUS[V] = 0;
 
                end
                end
 
 
                // AND - Logical AND
                // AND - Logical AND
                AND_IMM, AND_ZPG, AND_ZPX, AND_ABS, AND_ABX, AND_ABY, AND_IDX, AND_IDY : begin
                        AND_IMM, AND_ZPG, AND_ZPX, AND_ABS, AND_ABX, AND_ABY, AND_IDX,
 
                        AND_IDY : begin
                        result = A & alu_a;
                        result = A & alu_a;
 
                                STATUS[Z] = (result == 0) ? 1 : 0;
 
                                STATUS[N] = result[7];
                end
                end
 
 
                // CMP - Compare
                // CMP - Compare
                CMP_IMM, CMP_ZPG, CMP_ZPX, CMP_ABS, CMP_ABX, CMP_ABY, CMP_IDX, CMP_IDY : begin
                        CMP_IMM, CMP_ZPG, CMP_ZPX, CMP_ABS, CMP_ABX, CMP_ABY, CMP_IDX,
 
                        CMP_IDY : begin
                        result = A - alu_a;
                        result = A - alu_a;
                        STATUS[C] = (A >= alu_a) ? 1 : 0;
                        STATUS[C] = (A >= alu_a) ? 1 : 0;
 
                                STATUS[Z] = (result == 0) ? 1 : 0;
 
                                STATUS[N] = result[7];
                end
                end
 
 
                // EOR - Exclusive OR
                // EOR - Exclusive OR
                EOR_IMM, EOR_ZPG, EOR_ZPX, EOR_ABS, EOR_ABX, EOR_ABY, EOR_IDX, EOR_IDY : begin
                        EOR_IMM, EOR_ZPG, EOR_ZPX, EOR_ABS, EOR_ABX, EOR_ABY,
 
                        EOR_IDX, EOR_IDY : begin
                        result = A ^ alu_a;
                        result = A ^ alu_a;
 
                                STATUS[Z] = (result == 0) ? 1 : 0;
 
                                STATUS[N] = result[7];
                end
                end
 
 
                // LDA - Load Accumulator
                // LDA - Load Accumulator
                // LDX - Load X Register
                // LDX - Load X Register
                // LDY - Load Y Register
                // LDY - Load Y Register
                // TSX - Transfer Stack Pointer to X
                // TSX - Transfer Stack Pointer to X
                LDA_IMM, LDA_ZPG, LDA_ZPX, LDA_ABS, LDA_ABX, LDA_ABY, LDA_IDX, LDA_IDY,
                        LDA_IMM, LDA_ZPG, LDA_ZPX, LDA_ABS, LDA_ABX, LDA_ABY, LDA_IDX,
                LDX_IMM, LDX_ZPG, LDX_ZPY, LDX_ABS, LDX_ABY,
                        LDA_IDY, LDX_IMM, LDX_ZPG, LDX_ZPY, LDX_ABS, LDX_ABY, LDY_IMM,
                LDY_IMM, LDY_ZPG, LDY_ZPX, LDY_ABS, LDY_ABX,
                        LDY_ZPG, LDY_ZPX, LDY_ABS, LDY_ABX, TSX_IMP : begin
                TSX_IMP : begin
 
                        result = alu_a;
                        result = alu_a;
 
                                STATUS[Z] = (result == 0) ? 1 : 0;
 
                                STATUS[N] = result[7];
                end
                end
 
 
                // ORA - Logical OR
                // ORA - Logical OR
                ORA_IMM, ORA_ZPG, ORA_ZPX, ORA_ABS, ORA_ABX, ORA_ABY, ORA_IDX, ORA_IDY : begin
                        ORA_IMM, ORA_ZPG, ORA_ZPX, ORA_ABS, ORA_ABX, ORA_ABY, ORA_IDX,
                        //result = A | alu_a;
                        ORA_IDY : begin
                        result = A | alu_a;
                        result = A | alu_a;
 
                                STATUS[Z] = (result == 0) ? 1 : 0;
 
                                STATUS[N] = result[7];
                end
                end
 
 
                // SBC - Subtract with Carry
                // SBC - Subtract with Carry
                SBC_IMM, SBC_ZPG, SBC_ZPX, SBC_ABS, SBC_ABX, SBC_ABY, SBC_IDX, SBC_IDY : begin
                        SBC_IMM, SBC_ZPG, SBC_ZPX, SBC_ABS, SBC_ABX, SBC_ABY, SBC_IDX,
                        if (alu_status[D] == 1) begin
                        SBC_IDY : begin
/*                              //AL = A[3:0] + alu_a[3:0] + alu_status[C];
                                result = op1 - op2 - (1 - alu_status[C]);
                                AL = op1[3:0] + op2[3:0] + alu_status[C];
                                STATUS[N] = result[7];
                                //AH = A[7:4] + alu_a[7:4];
                                STATUS[V] = ((op1[7] == op2[7]) && (op1[7] == result[7])) ? 1 : 0;
                                AH = op1[7:4] + op2[7:4];
                                STATUS[Z] = (result == 0) ? 1 : 0;
                                if (AL > 9) begin
 
                                        bcdh = AH + (AL / 10);
 
                                        bcdl = AL % 10;
 
                                end
 
                                else begin
 
                                        bcdh = AH;
 
                                        bcdl = AL;
 
                                end
 
                                if (bcdh > 9) begin
 
                                        STATUS[C] = 1;
 
                                        bcdh2 = bcdh % 10;
 
                                end
 
                                else begin
 
                                        STATUS[C] = 0;
 
                                        bcdh2 = bcdh;
 
                                end
 
                                result = {bcdh2[3:0],bcdl[3:0]};*/
 
        //C := P_In(Flag_C) or not Op(0);
 
                                AL = {op1[3:0],alu_status[C]} - {op2[3:0],1'b1};
 
                                AH = {op1[7:4],1'b0} - {op2[7:4],AL[5]};
 
 
 
                                if (AL[5] == 1) begin
 
                                        bcdl[5:1] = AL[5:1] - 6;
 
                                end
 
                                AH = {op1[7:4],1'b0} - {op2[7:4],bcdl[6]};
 
                                if (AH[5] == 1) begin
 
                                        bcdh[5:1] = AH[5:1] - 6;
 
                                end
 
                                result = {bcdh[4:1],bcdl[4:1]};
 
                                STATUS[C] = ~result[7];
                                STATUS[C] = ~result[7];
 
                                if (alu_status[D]) begin
 
                                        AL = op1[3:0] - op2[3:0] - (1 - alu_status[C]);
 
                                        AH = op1[7:4] - op2[7:4];
 
                                        if (AL[4]) begin
 
                                                bcdl = AL - 6;
 
                                                bcdh = AH - 1;
                        end
                        end
                        else begin
                        else begin
                                op2 = ~op2;
                                                bcdl = AL;
                                //$display("MODO NORMAL");
                                                bcdh = AH;
                                result = op1 + op2 + alu_status[C];
                                        end
                                STATUS[C] = ~result[7];
                                        if (bcdh[4]) begin
 
                                                bcdh2 = bcdh - 6;
 
                                        end
 
                                        else begin
 
                                                bcdh2 = bcdh;
 
                                        end
 
                                        result = {bcdh2[3:0],bcdl[3:0]};
                        end
                        end
/*                      if (alu_status[D] == 1) begin
 
                                bcdl = op1[3:0] - op2[3:0] - (1 - alu_status[C]);
 
                                bcdh = op1[7:4] - op2[7:4];
 
                                if (bcdl > 9) begin
 
                                        bcdh = bcdh + bcdl[5:4];
 
                                        bcdl = bcdl % 10;
 
                                end
 
                                if (bcdh > 9) begin
 
                                        STATUS[C] = 1;
 
                                        bcdh = bcdh % 10;
 
                                end
 
                                result = {bcdh[3:0],bcdl[3:0]};
 
                        end
 
                        else begin
 
                                op2 = ~alu_a;
 
                                result = op1 + op2 + alu_status[C];
 
                                STATUS[C] = ~result[7];
 
                        end
 
*/
 
 
 
                        if ((op1[7] == sign) && (op1[7] != result[7]))
 
                                STATUS[V] = 1;
 
                        else
 
                                STATUS[V] = 0;
 
 
 
                end
                end
 
 
                // ASL - Arithmetic Shift Left
                // ASL - Arithmetic Shift Left
                ASL_ACC : begin
                ASL_ACC : begin
                        //{STATUS[C],result} = A << 1;
 
                        //{STATUS[C],result} = {A,1'b0};
 
                        {STATUS[C],result} = {A,1'b0};
                        {STATUS[C],result} = {A,1'b0};
 
                                STATUS[Z] = (result == 0) ? 1 : 0;
 
                                STATUS[N] = result[7];
                end
                end
                ASL_ZPG, ASL_ZPX, ASL_ABS, ASL_ABX : begin
                ASL_ZPG, ASL_ZPX, ASL_ABS, ASL_ABX : begin
                        //{STATUS[C],result} = alu_a << 1;
 
                        {STATUS[C],result} = {alu_a,1'b0};
                        {STATUS[C],result} = {alu_a,1'b0};
 
                                STATUS[Z] = (result == 0) ? 1 : 0;
 
                                STATUS[N] = result[7];
                end
                end
 
 
                // LSR - Logical Shift Right
                // LSR - Logical Shift Right
                LSR_ACC: begin
                LSR_ACC: begin
                        //{result, STATUS[C]} = A >> 1;
 
                        //{result,STATUS[C]} = {1'b0,A};
 
                        {result,STATUS[C]} = {1'b0,A};
                        {result,STATUS[C]} = {1'b0,A};
 
                                STATUS[Z] = (result == 0) ? 1 : 0;
 
                                STATUS[N] = result[7];
                end
                end
                LSR_ZPG, LSR_ZPX, LSR_ABS, LSR_ABX : begin
                LSR_ZPG, LSR_ZPX, LSR_ABS, LSR_ABX : begin
                        //{result, STATUS[C]} = alu_a >> 1;
 
                        {result,STATUS[C]} = {1'b0,alu_a};
                        {result,STATUS[C]} = {1'b0,alu_a};
 
                                STATUS[Z] = (result == 0) ? 1 : 0;
 
                                STATUS[N] = result[7];
                end
                end
 
 
                // ROL - Rotate Left
                // ROL - Rotate Left
                ROL_ACC : begin
                ROL_ACC : begin
                        //{STATUS[C],result} = {A,alu_status[C]};
 
                        {STATUS[C],result} = {A,alu_status[C]};
                        {STATUS[C],result} = {A,alu_status[C]};
 
                                STATUS[Z] = (result == 0) ? 1 : 0;
 
                                STATUS[N] = result[7];
                end
                end
                ROL_ZPG, ROL_ZPX, ROL_ABS, ROL_ABX : begin
                ROL_ZPG, ROL_ZPX, ROL_ABS, ROL_ABX : begin
                        {STATUS[C],result} = {alu_a,alu_status[C]};
                        {STATUS[C],result} = {alu_a,alu_status[C]};
 
                                STATUS[Z] = (result == 0) ? 1 : 0;
 
                                STATUS[N] = result[7];
                end
                end
 
 
                // ROR - Rotate Right
                // ROR - Rotate Right
                ROR_ACC : begin
                ROR_ACC : begin
                        //{result,STATUS[C]} = {alu_status[C],A};
 
                        {result,STATUS[C]} = {alu_status[C],A};
                        {result,STATUS[C]} = {alu_status[C],A};
 
                                STATUS[Z] = (result == 0) ? 1 : 0;
 
                                STATUS[N] = result[7];
                end
                end
                ROR_ZPG, ROR_ZPX, ROR_ABS, ROR_ABX : begin
                ROR_ZPG, ROR_ZPX, ROR_ABS, ROR_ABX : begin
                        {result, STATUS[C]} = {alu_status[C], alu_a};
                        {result, STATUS[C]} = {alu_status[C], alu_a};
 
                                STATUS[Z] = (result == 0) ? 1 : 0;
 
                                STATUS[N] = result[7];
                end
                end
 
 
                // CPX - Compare X Register
                // CPX - Compare X Register
                CPX_IMM, CPX_ZPG, CPX_ABS : begin
                CPX_IMM, CPX_ZPG, CPX_ABS : begin
                        //result = X - alu_a;
 
                        result = alu_x - alu_a;
                        result = alu_x - alu_a;
                        //STATUS[C] = (X >= alu_a) ? 1 : 0;
 
                        STATUS[C] = (alu_x >= alu_a) ? 1 : 0;
                        STATUS[C] = (alu_x >= alu_a) ? 1 : 0;
 
                                STATUS[Z] = (result == 0) ? 1 : 0;
 
                                STATUS[N] = result[7];
                end
                end
 
 
                // CPY - Compare Y Register
                // CPY - Compare Y Register
                CPY_IMM, CPY_ZPG, CPY_ABS : begin
                CPY_IMM, CPY_ZPG, CPY_ABS : begin
                        //result = Y - alu_a;
 
                        result = alu_y - alu_a;
                        result = alu_y - alu_a;
                        //STATUS[C] = (Y >= alu_a) ? 1 : 0;
 
                        STATUS[C] = (alu_y >= alu_a) ? 1 : 0;
                        STATUS[C] = (alu_y >= alu_a) ? 1 : 0;
 
                                STATUS[Z] = (result == 0) ? 1 : 0;
 
                                STATUS[N] = result[7];
                end
                end
 
 
                default: begin // NON-DEFAULT OPCODES FALL HERE
                        default: begin
                end
                end
        endcase
        endcase
        STATUS[Z] = (result == 0) ? 1 : 0;
 
        STATUS[N] = result[7];
 
end
end
end
end
endmodule
endmodule
 
 
 
 
 No newline at end of file
 No newline at end of file

powered by: WebSVN 2.1.0

© copyright 1999-2024 OpenCores.org, equivalent to Oliscience, all rights reserved. OpenCores®, registered trademark.