OpenCores
URL https://opencores.org/ocsvn/t6507lp/t6507lp/trunk

Subversion Repositories t6507lp

[/] [t6507lp/] [trunk/] [rtl/] [verilog/] [t6507lp_alu_tb.v] - Diff between revs 136 and 140

Go to most recent revision | Show entire file | Details | Blame | View Log

Rev 136 Rev 140
Line 2... Line 2...
module t6507lp_alu_tb;
module t6507lp_alu_tb;
 
 
`include  "t6507lp_package.v"
`include  "t6507lp_package.v"
 
 
reg         clk;
reg         clk;
reg         reset;
reg         reset_n;
reg         alu_enable;
reg         alu_enable;
wire [7:0]  alu_result;
wire [7:0]  alu_result;
wire [7:0]  alu_status;
wire [7:0]  alu_status;
reg  [7:0]  alu_opcode;
reg  [7:0]  alu_opcode;
reg  [7:0]  alu_a;
reg  [7:0]  alu_a;
Line 64... Line 64...
initial
initial
begin
begin
        // Reset
        // Reset
        clk = 0;
        clk = 0;
        reset_n = 0;
        reset_n = 0;
        @(negedge clk_i);
        @(negedge clk);
        @(negedge clk_i);
        @(negedge clk);
        reset_n = 1;
        reset_n = 1;
        alu_enable = 1;
        alu_enable = 1;
        alu_result_expected = 8'h00;
        alu_result_expected = 8'h00;
        alu_status_expected = 8'b00100010;
        alu_status_expected = 8'b00100010;
        alu_x_expected = 8'h00;
        alu_x_expected = 8'h00;
Line 78... Line 78...
        // LDA
        // LDA
        alu_a = 0;
        alu_a = 0;
        alu_opcode = LDA_IMM;
        alu_opcode = LDA_IMM;
        //$display("i = %d alu_opcode = %h alu_enable = %d", i, alu_opcode, alu_enable);
        //$display("i = %d alu_opcode = %h alu_enable = %d", i, alu_opcode, alu_enable);
        //$display("op1 = %d op2 = %d  c = %d d = %d n = %d v = %d result = %d", alu_a, DUT.A, alu_status[C], alu_status[D], alu_status[N], alu_status[V], alu_result);
        //$display("op1 = %d op2 = %d  c = %d d = %d n = %d v = %d result = %d", alu_a, DUT.A, alu_status[C], alu_status[D], alu_status[N], alu_status[V], alu_result);
        @(negedge clk_i);
        @(negedge clk);
        alu_result_expected = 8'h00;
        alu_result_expected = 8'h00;
        //                       NV1BDIZC
        //                       NV1BDIZC
        alu_status_expected = 8'b00100010;
        alu_status_expected = 8'b00100010;
        check();
        check();
 
 
        // ADC
        // ADC
        alu_opcode = ADC_IMM;
        alu_opcode = ADC_IMM;
        alu_a = 1;
        alu_a = 1;
        for (i = 0; i < 1000; i = i + 1)
        for (i = 0; i < 1000; i = i + 1)
        begin
        begin
                @(negedge clk_i);
                @(negedge clk);
                //$display("i = %d alu_opcode = %h alu_enable = %d", i, alu_opcode, alu_enable);
                //$display("i = %d alu_opcode = %h alu_enable = %d", i, alu_opcode, alu_enable);
                //$display("DUT.A = %h DUT.X = %h DUT.Y = %h", DUT.A, DUT.X, DUT.Y);
                //$display("DUT.A = %h DUT.X = %h DUT.Y = %h", DUT.A, DUT.X, DUT.Y);
                //$display("op1 = %d op2 = %d  c = %d d = %d n = %d v = %d result = %d", alu_a, DUT.A, alu_status[C], alu_status[D], alu_status[N], alu_status[V], alu_result);
                //$display("op1 = %d op2 = %d  c = %d d = %d n = %d v = %d result = %d", alu_a, DUT.A, alu_status[C], alu_status[D], alu_status[N], alu_status[V], alu_result);
                {alu_status_expected[C], alu_result_expected} = alu_a + alu_result_expected + alu_status_expected[C];
                {alu_status_expected[C], alu_result_expected} = alu_a + alu_result_expected + alu_status_expected[C];
                alu_status_expected[Z] = (alu_result_expected == 0) ? 1 : 0;
                alu_status_expected[Z] = (alu_result_expected == 0) ? 1 : 0;
Line 104... Line 104...
 
 
        // SBC
        // SBC
        alu_opcode = SBC_IMM;
        alu_opcode = SBC_IMM;
        for (i = 0; i < 1000; i = i + 1)
        for (i = 0; i < 1000; i = i + 1)
        begin
        begin
                @(negedge clk_i);
                @(negedge clk);
                //$display("i = %d alu_opcode = %h alu_enable = %d", i, alu_opcode, alu_enable);
                //$display("i = %d alu_opcode = %h alu_enable = %d", i, alu_opcode, alu_enable);
                //$display("DUT.A = %h DUT.X = %h DUT.Y = %h", DUT.A, DUT.X, DUT.Y);
                //$display("DUT.A = %h DUT.X = %h DUT.Y = %h", DUT.A, DUT.X, DUT.Y);
                //$display("op1 = %d op2 = %d  c = %d d = %d n = %d v = %d result = %d", alu_a, DUT.A, alu_status[C], alu_status[D], alu_status[N], alu_status[V], alu_result);
                //$display("op1 = %d op2 = %d  c = %d d = %d n = %d v = %d result = %d", alu_a, DUT.A, alu_status[C], alu_status[D], alu_status[N], alu_status[V], alu_result);
                {alu_status_expected[C], alu_result_expected} = alu_result_expected - alu_a - ~alu_status_expected[C];
                {alu_status_expected[C], alu_result_expected} = alu_result_expected - alu_a - ~alu_status_expected[C];
                alu_status_expected[Z] = (alu_result_expected == 0) ? 1 : 0;
                alu_status_expected[Z] = (alu_result_expected == 0) ? 1 : 0;
Line 120... Line 120...
        // LDA
        // LDA
        alu_opcode = LDA_IMM;
        alu_opcode = LDA_IMM;
        for (i = 0; i < 1000; i = i + 1)
        for (i = 0; i < 1000; i = i + 1)
        begin
        begin
                alu_a = i;
                alu_a = i;
                @(negedge clk_i);
                @(negedge clk);
                alu_result_expected = i;
                alu_result_expected = i;
                alu_status_expected[Z] = (alu_result_expected == 0) ? 1 : 0;
                alu_status_expected[Z] = (alu_result_expected == 0) ? 1 : 0;
                alu_status_expected[N] = alu_result_expected[7];
                alu_status_expected[N] = alu_result_expected[7];
                //$display("i = %d alu_opcode = %h alu_enable = %d", i, alu_opcode, alu_enable);
                //$display("i = %d alu_opcode = %h alu_enable = %d", i, alu_opcode, alu_enable);
                //$display("DUT.A = %h DUT.X = %h DUT.Y = %h", DUT.A, DUT.X, DUT.Y);
                //$display("DUT.A = %h DUT.X = %h DUT.Y = %h", DUT.A, DUT.X, DUT.Y);
Line 135... Line 135...
        // LDX
        // LDX
        alu_opcode = LDX_IMM;
        alu_opcode = LDX_IMM;
        for (i = 0; i < 1000; i = i + 1)
        for (i = 0; i < 1000; i = i + 1)
        begin
        begin
                alu_a = i;
                alu_a = i;
                @(negedge clk_i);
                @(negedge clk);
                alu_x_expected = i;
                alu_x_expected = i;
                //alu_result_expected = i;
                //alu_result_expected = i;
                alu_status_expected[Z] = (alu_x_expected == 0) ? 1 : 0;
                alu_status_expected[Z] = (alu_x_expected == 0) ? 1 : 0;
                alu_status_expected[N] = alu_x_expected[7];
                alu_status_expected[N] = alu_x_expected[7];
                //$display("i = %d alu_opcode = %h alu_enable = %d", i, alu_opcode, alu_enable);
                //$display("i = %d alu_opcode = %h alu_enable = %d", i, alu_opcode, alu_enable);
Line 151... Line 151...
        // LDY
        // LDY
        alu_opcode = LDY_IMM;
        alu_opcode = LDY_IMM;
        for (i = 0; i < 1001; i = i + 1)
        for (i = 0; i < 1001; i = i + 1)
        begin
        begin
                alu_a = i;
                alu_a = i;
                @(negedge clk_i);
                @(negedge clk);
                alu_y_expected = i;
                alu_y_expected = i;
                //alu_result_expected = i;
                //alu_result_expected = i;
                alu_status_expected[Z] = (alu_y_expected == 0) ? 1 : 0;
                alu_status_expected[Z] = (alu_y_expected == 0) ? 1 : 0;
                alu_status_expected[N] = alu_y_expected[7];
                alu_status_expected[N] = alu_y_expected[7];
                //$display("i = %d alu_opcode = %h alu_enable = %d", i, alu_opcode, alu_enable);
                //$display("i = %d alu_opcode = %h alu_enable = %d", i, alu_opcode, alu_enable);
Line 167... Line 167...
        // STA
        // STA
        alu_opcode = STA_ABS;
        alu_opcode = STA_ABS;
        for (i = 0; i < 1000; i = i + 1)
        for (i = 0; i < 1000; i = i + 1)
        begin
        begin
                alu_a = i;
                alu_a = i;
                @(negedge clk_i);
                @(negedge clk);
                //$display("i = %d alu_opcode = %h alu_enable = %d", i, alu_opcode, alu_enable);
                //$display("i = %d alu_opcode = %h alu_enable = %d", i, alu_opcode, alu_enable);
                //$display("DUT.A = %h DUT.X = %h DUT.Y = %h", DUT.A, DUT.X, DUT.Y);
                //$display("DUT.A = %h DUT.X = %h DUT.Y = %h", DUT.A, DUT.X, DUT.Y);
                //$display("op1 = %d op2 = %d  c = %d d = %d n = %d v = %d result = %d", alu_a, DUT.A, alu_status[C], alu_status[D], alu_status[N], alu_status[V], alu_result);
                //$display("op1 = %d op2 = %d  c = %d d = %d n = %d v = %d result = %d", alu_a, DUT.A, alu_status[C], alu_status[D], alu_status[N], alu_status[V], alu_result);
                check();
                check();
        end
        end
Line 179... Line 179...
        // STX
        // STX
        alu_opcode = STX_ABS;
        alu_opcode = STX_ABS;
        for (i = 0; i < 1000; i = i + 1)
        for (i = 0; i < 1000; i = i + 1)
        begin
        begin
                alu_a = i;
                alu_a = i;
                @(negedge clk_i);
                @(negedge clk);
                //alu_result_expected = i;
                //alu_result_expected = i;
                //alu_x_expected = i;
                //alu_x_expected = i;
                //$display("i = %d alu_opcode = %h alu_enable = %d", i, alu_opcode, alu_enable);
                //$display("i = %d alu_opcode = %h alu_enable = %d", i, alu_opcode, alu_enable);
                //$display("DUT.A = %h DUT.X = %h DUT.Y = %h", DUT.A, DUT.X, DUT.Y);
                //$display("DUT.A = %h DUT.X = %h DUT.Y = %h", DUT.A, DUT.X, DUT.Y);
                //$display("op1 = %d op2 = %d  c = %d d = %d n = %d v = %d result = %d", alu_a, DUT.A, alu_status[C], alu_status[D], alu_status[N], alu_status[V], alu_result);
                //$display("op1 = %d op2 = %d  c = %d d = %d n = %d v = %d result = %d", alu_a, DUT.A, alu_status[C], alu_status[D], alu_status[N], alu_status[V], alu_result);
Line 193... Line 193...
        // STY
        // STY
        alu_opcode = STY_ABS;
        alu_opcode = STY_ABS;
        for (i = 0; i < 1000; i = i + 1)
        for (i = 0; i < 1000; i = i + 1)
        begin
        begin
                alu_a = i;
                alu_a = i;
                @(negedge clk_i);
                @(negedge clk);
                //alu_result_expected = i;
                //alu_result_expected = i;
                //alu_y_expected = i;
                //alu_y_expected = i;
                //$display("i = %d alu_opcode = %h alu_enable = %d", i, alu_opcode, alu_enable);
                //$display("i = %d alu_opcode = %h alu_enable = %d", i, alu_opcode, alu_enable);
                //$display("DUT.A = %h DUT.X = %h DUT.Y = %h", DUT.A, DUT.X, DUT.Y);
                //$display("DUT.A = %h DUT.X = %h DUT.Y = %h", DUT.A, DUT.X, DUT.Y);
                //$display("op1 = %d op2 = %d  c = %d d = %d n = %d v = %d result = %d", alu_a, DUT.A, alu_status[C], alu_status[D], alu_status[N], alu_status[V], alu_result);
                //$display("op1 = %d op2 = %d  c = %d d = %d n = %d v = %d result = %d", alu_a, DUT.A, alu_status[C], alu_status[D], alu_status[N], alu_status[V], alu_result);
Line 207... Line 207...
        // CMP
        // CMP
        alu_opcode = CMP_IMM;
        alu_opcode = CMP_IMM;
        for (i = 0; i < 1000; i = i + 1)
        for (i = 0; i < 1000; i = i + 1)
        begin
        begin
                alu_a = i;
                alu_a = i;
                @(negedge clk_i);
                @(negedge clk);
                temp = alu_result_expected - alu_a;
                temp = alu_result_expected - alu_a;
                alu_status_expected[Z] = (temp == 0) ? 1 : 0;
                alu_status_expected[Z] = (temp == 0) ? 1 : 0;
                alu_status_expected[N] = temp[7];
                alu_status_expected[N] = temp[7];
                alu_status_expected[C] = (alu_result_expected >= alu_a) ? 1 : 0;
                alu_status_expected[C] = (alu_result_expected >= alu_a) ? 1 : 0;
                //alu_result_expected = i;
                //alu_result_expected = i;
Line 225... Line 225...
        // CPX
        // CPX
        alu_opcode = CPX_IMM;
        alu_opcode = CPX_IMM;
        for (i = 0; i < 1000; i = i + 1)
        for (i = 0; i < 1000; i = i + 1)
        begin
        begin
                alu_a = i;
                alu_a = i;
                @(negedge clk_i);
                @(negedge clk);
                temp = alu_x_expected - alu_a;
                temp = alu_x_expected - alu_a;
                alu_status_expected[Z] = (temp == 0) ? 1 : 0;
                alu_status_expected[Z] = (temp == 0) ? 1 : 0;
                alu_status_expected[N] = temp[7];
                alu_status_expected[N] = temp[7];
                alu_status_expected[C] = (alu_x_expected >= alu_a) ? 1 : 0;
                alu_status_expected[C] = (alu_x_expected >= alu_a) ? 1 : 0;
                //alu_result_expected = i;
                //alu_result_expected = i;
Line 243... Line 243...
        // CPY
        // CPY
        alu_opcode = CPY_IMM;
        alu_opcode = CPY_IMM;
        for (i = 0; i < 1000; i = i + 1)
        for (i = 0; i < 1000; i = i + 1)
        begin
        begin
                alu_a = i;
                alu_a = i;
                @(negedge clk_i);
                @(negedge clk);
                temp = alu_y_expected - alu_a;
                temp = alu_y_expected - alu_a;
                alu_status_expected[Z] = (temp == 0) ? 1 : 0;
                alu_status_expected[Z] = (temp == 0) ? 1 : 0;
                alu_status_expected[N] = temp[7];
                alu_status_expected[N] = temp[7];
                alu_status_expected[C] = (alu_y_expected >= alu_a) ? 1 : 0;
                alu_status_expected[C] = (alu_y_expected >= alu_a) ? 1 : 0;
                //alu_result_expected = i;
                //alu_result_expected = i;
Line 262... Line 262...
        // AND
        // AND
        alu_opcode = AND_IMM;
        alu_opcode = AND_IMM;
        for (i = 0; i < 1000; i = i + 1)
        for (i = 0; i < 1000; i = i + 1)
        begin
        begin
                alu_a = i;
                alu_a = i;
                @(negedge clk_i);
                @(negedge clk);
                alu_result_expected = i & alu_result_expected;
                alu_result_expected = i & alu_result_expected;
                alu_status_expected[Z] = (alu_result_expected == 0) ? 1 : 0;
                alu_status_expected[Z] = (alu_result_expected == 0) ? 1 : 0;
                alu_status_expected[N] = alu_result_expected[7];
                alu_status_expected[N] = alu_result_expected[7];
                //$display("i = %d alu_opcode = %h alu_enable = %d", i, alu_opcode, alu_enable);
                //$display("i = %d alu_opcode = %h alu_enable = %d", i, alu_opcode, alu_enable);
                //$display("DUT.A = %h DUT.X = %h DUT.Y = %h", DUT.A, DUT.X, DUT.Y);
                //$display("DUT.A = %h DUT.X = %h DUT.Y = %h", DUT.A, DUT.X, DUT.Y);
Line 277... Line 277...
        // ASL
        // ASL
        alu_opcode = ASL_ACC;
        alu_opcode = ASL_ACC;
        for (i = 0; i < 1000; i = i + 1)
        for (i = 0; i < 1000; i = i + 1)
        begin
        begin
                alu_a = i;
                alu_a = i;
                @(negedge clk_i);
                @(negedge clk);
                alu_status_expected[C] = alu_result_expected[7];
                alu_status_expected[C] = alu_result_expected[7];
                alu_result_expected[7:0] = alu_result_expected << 1;
                alu_result_expected[7:0] = alu_result_expected << 1;
                alu_status_expected[Z] = (alu_result_expected == 0) ? 1 : 0;
                alu_status_expected[Z] = (alu_result_expected == 0) ? 1 : 0;
                alu_status_expected[N] = alu_result_expected[7];
                alu_status_expected[N] = alu_result_expected[7];
                //$display("i = %d alu_opcode = %h alu_enable = %d", i, alu_opcode, alu_enable);
                //$display("i = %d alu_opcode = %h alu_enable = %d", i, alu_opcode, alu_enable);
Line 293... Line 293...
        // INC
        // INC
        alu_opcode = INC_ZPG;
        alu_opcode = INC_ZPG;
        for (i = 0; i < 1000; i = i + 1)
        for (i = 0; i < 1000; i = i + 1)
        begin
        begin
                alu_a = i;
                alu_a = i;
                @(negedge clk_i);
                @(negedge clk);
                //$display("i = %d alu_opcode = %h alu_enable = %d", i, alu_opcode, alu_enable);
                //$display("i = %d alu_opcode = %h alu_enable = %d", i, alu_opcode, alu_enable);
                //$display("DUT.A = %h DUT.X = %h DUT.Y = %h", DUT.A, DUT.X, DUT.Y);
                //$display("DUT.A = %h DUT.X = %h DUT.Y = %h", DUT.A, DUT.X, DUT.Y);
                //$display("op1 = %d op2 = %d  c = %d d = %d n = %d v = %d result = %d", alu_a, DUT.A, alu_status[C], alu_status[D], alu_status[N], alu_status[V], alu_result);
                //$display("op1 = %d op2 = %d  c = %d d = %d n = %d v = %d result = %d", alu_a, DUT.A, alu_status[C], alu_status[D], alu_status[N], alu_status[V], alu_result);
                alu_result_expected = alu_a + 1;
                alu_result_expected = alu_a + 1;
                alu_status_expected[Z] = (alu_result_expected == 0) ? 1 : 0;
                alu_status_expected[Z] = (alu_result_expected == 0) ? 1 : 0;
Line 308... Line 308...
        // INX
        // INX
        alu_opcode = INX_IMP;
        alu_opcode = INX_IMP;
        for (i = 0; i < 1000; i = i + 1)
        for (i = 0; i < 1000; i = i + 1)
        begin
        begin
                alu_a = i;
                alu_a = i;
                @(negedge clk_i);
                @(negedge clk);
                //$display("i = %d alu_opcode = %h alu_enable = %d", i, alu_opcode, alu_enable);
                //$display("i = %d alu_opcode = %h alu_enable = %d", i, alu_opcode, alu_enable);
                //$display("DUT.A = %h DUT.X = %h DUT.Y = %h", DUT.A, DUT.X, DUT.Y);
                //$display("DUT.A = %h DUT.X = %h DUT.Y = %h", DUT.A, DUT.X, DUT.Y);
                //$display("op1 = %d op2 = %d  c = %d d = %d n = %d v = %d result = %d", alu_a, DUT.A, alu_status[C], alu_status[D], alu_status[N], alu_status[V], alu_result);
                //$display("op1 = %d op2 = %d  c = %d d = %d n = %d v = %d result = %d", alu_a, DUT.A, alu_status[C], alu_status[D], alu_status[N], alu_status[V], alu_result);
                alu_x_expected = alu_x_expected + 1;
                alu_x_expected = alu_x_expected + 1;
                alu_status_expected[Z] = (alu_x_expected == 0) ? 1 : 0;
                alu_status_expected[Z] = (alu_x_expected == 0) ? 1 : 0;
Line 323... Line 323...
        // INY
        // INY
        alu_opcode = INY_IMP;
        alu_opcode = INY_IMP;
        for (i = 0; i < 1000; i = i + 1)
        for (i = 0; i < 1000; i = i + 1)
        begin
        begin
                alu_a = i;
                alu_a = i;
                @(negedge clk_i);
                @(negedge clk);
                //$display("i = %d alu_opcode = %h alu_enable = %d", i, alu_opcode, alu_enable);
                //$display("i = %d alu_opcode = %h alu_enable = %d", i, alu_opcode, alu_enable);
                //$display("DUT.A = %h DUT.X = %h DUT.Y = %h", DUT.A, DUT.X, DUT.Y);
                //$display("DUT.A = %h DUT.X = %h DUT.Y = %h", DUT.A, DUT.X, DUT.Y);
                //$display("op1 = %d op2 = %d  c = %d d = %d n = %d v = %d result = %d", alu_a, DUT.A, alu_status[C], alu_status[D], alu_status[N], alu_status[V], alu_result);
                //$display("op1 = %d op2 = %d  c = %d d = %d n = %d v = %d result = %d", alu_a, DUT.A, alu_status[C], alu_status[D], alu_status[N], alu_status[V], alu_result);
                alu_y_expected = alu_y_expected + 1;
                alu_y_expected = alu_y_expected + 1;
                alu_status_expected[Z] = (alu_y_expected == 0) ? 1 : 0;
                alu_status_expected[Z] = (alu_y_expected == 0) ? 1 : 0;
Line 338... Line 338...
        // DEC
        // DEC
        alu_opcode = DEC_ZPG;
        alu_opcode = DEC_ZPG;
        for (i = 0; i < 1000; i = i + 1)
        for (i = 0; i < 1000; i = i + 1)
        begin
        begin
                alu_a = i;
                alu_a = i;
                @(negedge clk_i);
                @(negedge clk);
                //$display("i = %d alu_opcode = %h alu_enable = %d", i, alu_opcode, alu_enable);
                //$display("i = %d alu_opcode = %h alu_enable = %d", i, alu_opcode, alu_enable);
                //$display("DUT.A = %h DUT.X = %h DUT.Y = %h", DUT.A, DUT.X, DUT.Y);
                //$display("DUT.A = %h DUT.X = %h DUT.Y = %h", DUT.A, DUT.X, DUT.Y);
                //$display("op1 = %d op2 = %d  c = %d d = %d n = %d v = %d result = %d", alu_a, DUT.A, alu_status[C], alu_status[D], alu_status[N], alu_status[V], alu_result);
                //$display("op1 = %d op2 = %d  c = %d d = %d n = %d v = %d result = %d", alu_a, DUT.A, alu_status[C], alu_status[D], alu_status[N], alu_status[V], alu_result);
                alu_result_expected = alu_a - 1;
                alu_result_expected = alu_a - 1;
                alu_status_expected[Z] = (alu_result_expected == 0) ? 1 : 0;
                alu_status_expected[Z] = (alu_result_expected == 0) ? 1 : 0;
Line 353... Line 353...
        // DEX
        // DEX
        alu_opcode = DEX_IMP;
        alu_opcode = DEX_IMP;
        for (i = 0; i < 1000; i = i + 1)
        for (i = 0; i < 1000; i = i + 1)
        begin
        begin
                alu_a = i;
                alu_a = i;
                @(negedge clk_i);
                @(negedge clk);
                //$display("i = %d alu_opcode = %h alu_enable = %d", i, alu_opcode, alu_enable);
                //$display("i = %d alu_opcode = %h alu_enable = %d", i, alu_opcode, alu_enable);
                //$display("DUT.A = %h DUT.X = %h DUT.Y = %h", DUT.A, DUT.X, DUT.Y);
                //$display("DUT.A = %h DUT.X = %h DUT.Y = %h", DUT.A, DUT.X, DUT.Y);
                //$display("op1 = %d op2 = %d  c = %d d = %d n = %d v = %d result = %d", alu_a, DUT.A, alu_status[C], alu_status[D], alu_status[N], alu_status[V], alu_result);
                //$display("op1 = %d op2 = %d  c = %d d = %d n = %d v = %d result = %d", alu_a, DUT.A, alu_status[C], alu_status[D], alu_status[N], alu_status[V], alu_result);
                alu_x_expected = alu_x_expected - 1;
                alu_x_expected = alu_x_expected - 1;
                alu_status_expected[Z] = (alu_x_expected == 0) ? 1 : 0;
                alu_status_expected[Z] = (alu_x_expected == 0) ? 1 : 0;
Line 368... Line 368...
        // DEY
        // DEY
        alu_opcode = DEY_IMP;
        alu_opcode = DEY_IMP;
        for (i = 0; i < 1000; i = i + 1)
        for (i = 0; i < 1000; i = i + 1)
        begin
        begin
                alu_a = i;
                alu_a = i;
                @(negedge clk_i);
                @(negedge clk);
                //$display("i = %d alu_opcode = %h alu_enable = %d", i, alu_opcode, alu_enable);
                //$display("i = %d alu_opcode = %h alu_enable = %d", i, alu_opcode, alu_enable);
                //$display("DUT.A = %h DUT.X = %h DUT.Y = %h", DUT.A, DUT.X, DUT.Y);
                //$display("DUT.A = %h DUT.X = %h DUT.Y = %h", DUT.A, DUT.X, DUT.Y);
                //$display("op1 = %d op2 = %d  c = %d d = %d n = %d v = %d result = %d", alu_a, DUT.A, alu_status[C], alu_status[D], alu_status[N], alu_status[V], alu_result);
                //$display("op1 = %d op2 = %d  c = %d d = %d n = %d v = %d result = %d", alu_a, DUT.A, alu_status[C], alu_status[D], alu_status[N], alu_status[V], alu_result);
                alu_y_expected = alu_y_expected - 1;
                alu_y_expected = alu_y_expected - 1;
                alu_status_expected[Z] = (alu_y_expected == 0) ? 1 : 0;
                alu_status_expected[Z] = (alu_y_expected == 0) ? 1 : 0;
Line 384... Line 384...
        // LDA
        // LDA
        alu_a = 0;
        alu_a = 0;
        alu_opcode = LDA_IMM;
        alu_opcode = LDA_IMM;
        //$display("i = %d alu_opcode = %h alu_enable = %d", i, alu_opcode, alu_enable);
        //$display("i = %d alu_opcode = %h alu_enable = %d", i, alu_opcode, alu_enable);
        //$display("op1 = %d op2 = %d  c = %d d = %d n = %d v = %d result = %d", alu_a, DUT.A, alu_status[C], alu_status[D], alu_status[N], alu_status[V], alu_result);
        //$display("op1 = %d op2 = %d  c = %d d = %d n = %d v = %d result = %d", alu_a, DUT.A, alu_status[C], alu_status[D], alu_status[N], alu_status[V], alu_result);
        @(negedge clk_i);
        @(negedge clk);
        alu_result_expected = 8'h00;
        alu_result_expected = 8'h00;
        //                       NV1BDIZC
        //                       NV1BDIZC
        alu_status_expected = 8'b00100010;
        alu_status_expected = 8'b00100010;
        check();
        check();
 
 
        // BIT
        // BIT
        alu_opcode = BIT_ZPG;
        alu_opcode = BIT_ZPG;
        for (i = 0; i < 1000; i = i + 1)
        for (i = 0; i < 1000; i = i + 1)
        begin
        begin
                alu_a = i;
                alu_a = i;
                @(negedge clk_i);
                @(negedge clk);
                $display("i = %d alu_opcode = %h alu_enable = %d", i, alu_opcode, alu_enable);
                $display("i = %d alu_opcode = %h alu_enable = %d", i, alu_opcode, alu_enable);
                $display("DUT.A = %h DUT.X = %h DUT.Y = %h", DUT.A, DUT.X, DUT.Y);
                $display("DUT.A = %h DUT.X = %h DUT.Y = %h", DUT.A, DUT.X, DUT.Y);
                $display("op1 = %d op2 = %d  c = %d d = %d n = %d v = %d result = %d", alu_a, DUT.A, alu_status[C], alu_status[D], alu_status[N], alu_status[V], alu_result);
                $display("op1 = %d op2 = %d  c = %d d = %d n = %d v = %d result = %d", alu_a, DUT.A, alu_status[C], alu_status[D], alu_status[N], alu_status[V], alu_result);
                alu_status_expected[Z] = ((alu_a & alu_result_expected) == 0) ? 1 : 0;
                alu_status_expected[Z] = ((alu_a & alu_result_expected) == 0) ? 1 : 0;
                alu_status_expected[V] = alu_a[6];
                alu_status_expected[V] = alu_a[6];
Line 407... Line 407...
                check();
                check();
        end
        end
 
 
        // SEC
        // SEC
        alu_opcode = SEC_IMP;
        alu_opcode = SEC_IMP;
        @(negedge clk_i);
        @(negedge clk);
        alu_status_expected[C] = 1;
        alu_status_expected[C] = 1;
        check();
        check();
 
 
        // SED
        // SED
        alu_opcode = SED_IMP;
        alu_opcode = SED_IMP;
        @(negedge clk_i);
        @(negedge clk);
        alu_status_expected[D] = 1;
        alu_status_expected[D] = 1;
        check();
        check();
 
 
        // SEI
        // SEI
        alu_opcode = SEI_IMP;
        alu_opcode = SEI_IMP;
        @(negedge clk_i);
        @(negedge clk);
        alu_status_expected[I] = 1;
        alu_status_expected[I] = 1;
        check();
        check();
 
 
        // CLC
        // CLC
        alu_opcode = CLC_IMP;
        alu_opcode = CLC_IMP;
        @(negedge clk_i);
        @(negedge clk);
        alu_status_expected[C] = 0;
        alu_status_expected[C] = 0;
        check();
        check();
 
 
        // CLD
        // CLD
        alu_opcode = CLD_IMP;
        alu_opcode = CLD_IMP;
        @(negedge clk_i);
        @(negedge clk);
        alu_status_expected[D] = 0;
        alu_status_expected[D] = 0;
        check();
        check();
 
 
        // CLI
        // CLI
        alu_opcode = CLI_IMP;
        alu_opcode = CLI_IMP;
        @(negedge clk_i);
        @(negedge clk);
        alu_status_expected[I] = 0;
        alu_status_expected[I] = 0;
        check();
        check();
 
 
        // CLV
        // CLV
        alu_opcode = CLV_IMP;
        alu_opcode = CLV_IMP;
        @(negedge clk_i);
        @(negedge clk);
        alu_status_expected[V] = 0;
        alu_status_expected[V] = 0;
        check();
        check();
 
 
        // LDA
        // LDA
        alu_opcode = LDA_IMM;
        alu_opcode = LDA_IMM;
        alu_a = 8'h76;
        alu_a = 8'h76;
        @(negedge clk_i);
        @(negedge clk);
        alu_result_expected = alu_a;
        alu_result_expected = alu_a;
        alu_status_expected[Z] = (alu_result_expected == 0) ? 1 : 0;
        alu_status_expected[Z] = (alu_result_expected == 0) ? 1 : 0;
        alu_status_expected[N] = alu_result_expected[7];
        alu_status_expected[N] = alu_result_expected[7];
        check();
        check();
 
 
        // TAX
        // TAX
        alu_opcode = TAX_IMP;
        alu_opcode = TAX_IMP;
        @(negedge clk_i);
        @(negedge clk);
        alu_x_expected = alu_result_expected;
        alu_x_expected = alu_result_expected;
        alu_status_expected[Z] = (alu_x_expected == 0) ? 1 : 0;
        alu_status_expected[Z] = (alu_x_expected == 0) ? 1 : 0;
        alu_status_expected[N] = alu_x_expected[7];
        alu_status_expected[N] = alu_x_expected[7];
        check();
        check();
 
 
        // TAY
        // TAY
        alu_opcode = TAY_IMP;
        alu_opcode = TAY_IMP;
        @(negedge clk_i);
        @(negedge clk);
        alu_y_expected = alu_result_expected;
        alu_y_expected = alu_result_expected;
        alu_status_expected[Z] = (alu_y_expected == 0) ? 1 : 0;
        alu_status_expected[Z] = (alu_y_expected == 0) ? 1 : 0;
        alu_status_expected[N] = alu_y_expected[7];
        alu_status_expected[N] = alu_y_expected[7];
        check();
        check();
 
 
        // TSX
        // TSX
        alu_opcode = TSX_IMP;
        alu_opcode = TSX_IMP;
        @(negedge clk_i);
        @(negedge clk);
        alu_x_expected = alu_a;
        alu_x_expected = alu_a;
        //alu_result_expected = alu_a;
        //alu_result_expected = alu_a;
        alu_status_expected[Z] = (alu_x_expected == 0) ? 1 : 0;
        alu_status_expected[Z] = (alu_x_expected == 0) ? 1 : 0;
        alu_status_expected[N] = alu_x_expected[7];
        alu_status_expected[N] = alu_x_expected[7];
        check();
        check();
 
 
        // TXA
        // TXA
        alu_opcode = TXA_IMP;
        alu_opcode = TXA_IMP;
        @(negedge clk_i);
        @(negedge clk);
        alu_result_expected = alu_x_expected;
        alu_result_expected = alu_x_expected;
        alu_status_expected[Z] = (alu_result_expected == 0) ? 1 : 0;
        alu_status_expected[Z] = (alu_result_expected == 0) ? 1 : 0;
        alu_status_expected[N] = alu_result_expected[7];
        alu_status_expected[N] = alu_result_expected[7];
        check();
        check();
 
 
        // TXS
        // TXS
        alu_opcode = TXS_IMP;
        alu_opcode = TXS_IMP;
        @(negedge clk_i);
        @(negedge clk);
        alu_result_expected = alu_x_expected;
        alu_result_expected = alu_x_expected;
        check();
        check();
 
 
        // TYA
        // TYA
        alu_opcode = TYA_IMP;
        alu_opcode = TYA_IMP;
        @(negedge clk_i);
        @(negedge clk);
        alu_result_expected = alu_y_expected;
        alu_result_expected = alu_y_expected;
        alu_status_expected[Z] = (alu_result_expected == 0) ? 1 : 0;
        alu_status_expected[Z] = (alu_result_expected == 0) ? 1 : 0;
        alu_status_expected[N] = alu_result_expected[7];
        alu_status_expected[N] = alu_result_expected[7];
        check();
        check();
 
 
        // Nothing should happen
        // Nothing should happen
        // BCC
        // BCC
        alu_opcode = BCC_REL;
        alu_opcode = BCC_REL;
        @(negedge clk_i);
        @(negedge clk);
        check();
        check();
 
 
        // BCS
        // BCS
        alu_opcode = BCS_REL;
        alu_opcode = BCS_REL;
        @(negedge clk_i);
        @(negedge clk);
        check();
        check();
 
 
        // BEQ
        // BEQ
        alu_opcode = BEQ_REL;
        alu_opcode = BEQ_REL;
        @(negedge clk_i);
        @(negedge clk);
        check();
        check();
 
 
        // BMI
        // BMI
        alu_opcode = BMI_REL;
        alu_opcode = BMI_REL;
        @(negedge clk_i);
        @(negedge clk);
        check();
        check();
 
 
        // BNE
        // BNE
        alu_opcode = BNE_REL;
        alu_opcode = BNE_REL;
        @(negedge clk_i);
        @(negedge clk);
        check();
        check();
 
 
        // BPL
        // BPL
        alu_opcode = BPL_REL;
        alu_opcode = BPL_REL;
        @(negedge clk_i);
        @(negedge clk);
        check();
        check();
 
 
        // BVC
        // BVC
        alu_opcode = BVC_REL;
        alu_opcode = BVC_REL;
        @(negedge clk_i);
        @(negedge clk);
        check();
        check();
 
 
        // BVS
        // BVS
        alu_opcode = BVS_REL;
        alu_opcode = BVS_REL;
        @(negedge clk_i);
        @(negedge clk);
        check();
        check();
 
 
        // JMP
        // JMP
        alu_opcode = JMP_ABS;
        alu_opcode = JMP_ABS;
        @(negedge clk_i);
        @(negedge clk);
        check();
        check();
 
 
        // JMP
        // JMP
        alu_opcode = JMP_IND;
        alu_opcode = JMP_IND;
        @(negedge clk_i);
        @(negedge clk);
        check();
        check();
 
 
        // JSR
        // JSR
        alu_opcode = JSR_ABS;
        alu_opcode = JSR_ABS;
        @(negedge clk_i);
        @(negedge clk);
        check();
        check();
 
 
        // NOP
        // NOP
        alu_opcode = NOP_IMP;
        alu_opcode = NOP_IMP;
        @(negedge clk_i);
        @(negedge clk);
        check();
        check();
 
 
        // RTS
        // RTS
        alu_opcode = RTS_IMP;
        alu_opcode = RTS_IMP;
        @(negedge clk_i);
        @(negedge clk);
        check();
        check();
 
 
        $display("TEST PASSED");
        $display("TEST PASSED");
        $finish;
        $finish;
end
end

powered by: WebSVN 2.1.0

© copyright 1999-2024 OpenCores.org, equivalent to Oliscience, all rights reserved. OpenCores®, registered trademark.