OpenCores
URL https://opencores.org/ocsvn/t6507lp/t6507lp/trunk

Subversion Repositories t6507lp

[/] [t6507lp/] [trunk/] [rtl/] [verilog/] [t6507lp_alu_wrapper.v] - Diff between revs 144 and 153

Go to most recent revision | Show entire file | Details | Blame | View Log

Rev 144 Rev 153
Line 63... Line 63...
 
 
        initial clk = 0;
        initial clk = 0;
        always #10 clk <= ~clk;
        always #10 clk <= ~clk;
 
 
        always @(posedge clk) begin
        always @(posedge clk) begin
                $display("reset is %b", reset_n);
                //$display("reset is %b", reset_n);
                $display("alu_enable is %b", alu_enable);
                //$display("alu_enable is %b", alu_enable);
                $display("alu_opcode is %h", alu_opcode);
                //$display("alu_opcode is %h", alu_opcode);
                $display("alu_a is %d", alu_a);
                //$display("alu_a is %d", alu_a);
        end
        end
 
 
        t6507lp_alu t6507lp_alu (
        t6507lp_alu t6507lp_alu (
                .clk            (clk),
                .clk            (clk),
                .reset_n        (reset_n),
                .reset_n        (reset_n),

powered by: WebSVN 2.1.0

© copyright 1999-2024 OpenCores.org, equivalent to Oliscience, all rights reserved. OpenCores®, registered trademark.