OpenCores
URL https://opencores.org/ocsvn/t6507lp/t6507lp/trunk

Subversion Repositories t6507lp

[/] [t6507lp/] [trunk/] [rtl/] [verilog/] [t6507lp_fsm_tb.v] - Diff between revs 105 and 107

Go to most recent revision | Show entire file | Details | Blame | View Log

Rev 105 Rev 107
Line 141... Line 141...
                fake_mem[47] = 8'h0a;
                fake_mem[47] = 8'h0a;
                fake_mem[58] = BNE_REL; // testing REL mode, taking a branch, page crossed.
                fake_mem[58] = BNE_REL; // testing REL mode, taking a branch, page crossed.
                fake_mem[59] = 8'hff;
                fake_mem[59] = 8'hff;
                fake_mem[60] = 8'hff;
                fake_mem[60] = 8'hff;
                fake_mem[254] = 8'hff;
                fake_mem[254] = 8'hff;
                fake_mem[255] = 8'h11;
                fake_mem[257] = 8'h55; // PCL fetched from here when executing RTS_IMP
 
                fake_mem[258] = 8'h01;    // PCH fetched from here when executing RTS_IMP
 
                fake_mem[264] = 8'd340;
                fake_mem[315] = BEQ_REL; // testing REL mode, not taking a branch, page would have crossed.
                fake_mem[315] = BEQ_REL; // testing REL mode, not taking a branch, page would have crossed.
                fake_mem[316] = 8'hff;
                fake_mem[316] = 8'hff;
                fake_mem[317] = BEQ_REL; // testing REL mode, not taking a branch, page would not have crossed.
                fake_mem[317] = BEQ_REL; // testing REL mode, not taking a branch, page would not have crossed.
                fake_mem[318] = 8'h00;
                fake_mem[318] = 8'h00;
                fake_mem[319] = LDA_IDX; // testing IDX mode READ TYPE, no page crossed;
                fake_mem[319] = LDA_IDX; // testing IDX mode READ TYPE, no page crossed;
Line 178... Line 180...
                //fake_mem[337] = JMP_IND; // testing absolute indirect addressing. no page crossed when updating pointer.
                //fake_mem[337] = JMP_IND; // testing absolute indirect addressing. no page crossed when updating pointer.
                //fake_mem[338] = 8'h3b;   // these are commented cause they will actually jump
                //fake_mem[338] = 8'h3b;   // these are commented cause they will actually jump
                //fake_mem[339] = 8'h00;
                //fake_mem[339] = 8'h00;
                fake_mem[338] = BRK_IMP;
                fake_mem[338] = BRK_IMP;
                fake_mem[339] = RTI_IMP;
                fake_mem[339] = RTI_IMP;
 
                fake_mem[340] = RTS_IMP;
 
                // 341 is skipped due to RTS internal functionality
 
                fake_mem[342] = PHA_IMP;
 
                fake_mem[342] = PHP_IMP;
 
 
 
 
 
 
                fake_mem[8190] = 8'h53; // this is the reset vector
                fake_mem[8190] = 8'h53; // this is the reset vector
                fake_mem[8191] = 8'h01;
                fake_mem[8191] = 8'h01;

powered by: WebSVN 2.1.0

© copyright 1999-2024 OpenCores.org, equivalent to Oliscience, all rights reserved. OpenCores®, registered trademark.