OpenCores
URL https://opencores.org/ocsvn/t6507lp/t6507lp/trunk

Subversion Repositories t6507lp

[/] [t6507lp/] [trunk/] [rtl/] [verilog/] [t6532.v] - Diff between revs 197 and 201

Go to most recent revision | Show entire file | Details | Blame | View Log

Rev 197 Rev 201
Line 42... Line 42...
////                                                                    ////
////                                                                    ////
////////////////////////////////////////////////////////////////////////////
////////////////////////////////////////////////////////////////////////////
 
 
`include "timescale.v"
`include "timescale.v"
 
 
module t6532(clk, io_lines, enable, rw_mem, address, data);
module t6532(clk, reset_n, io_lines, enable, rw_mem, address, data);
        parameter [3:0] DATA_SIZE = 4'd8;
        parameter [3:0] DATA_SIZE = 4'd8;
        parameter [3:0] ADDR_SIZE = 4'd7; // this is the *local* addr_size
        parameter [3:0] ADDR_SIZE = 4'd10; // this is the *local* addr_size
 
 
        localparam [3:0] DATA_SIZE_ = DATA_SIZE - 4'd1;
        localparam [3:0] DATA_SIZE_ = DATA_SIZE - 4'd1;
        localparam [3:0] ADDR_SIZE_ = ADDR_SIZE - 4'd1;
        localparam [3:0] ADDR_SIZE_ = ADDR_SIZE - 4'd1;
 
 
        input clk; // master clock signal, 1.19mhz
        input clk; // master clock signal, 1.19mhz
 
        input reset_n;
        input [15:0] io_lines; // inputs from the keyboard controller
        input [15:0] io_lines; // inputs from the keyboard controller
        input enable; // since the address bus is shared an enable signal is used
        input enable; // since the address bus is shared an enable signal is used
        input rw_mem; // read == 0, write == 1
        input rw_mem; // read == 0, write == 1
        input [ADDR_SIZE_:0] address; // system address bus
        input [ADDR_SIZE_:0] address; // system address bus
        inout [DATA_SIZE_:0] data; // controler <=> riot data bus
        inout [DATA_SIZE_:0] data; // controler <=> riot data bus
 
 
        reg [DATA_SIZE_:0] ram [8'h80:8'hFF]; // the ram itself. TODO: test the memory compiler
        reg [DATA_SIZE_:0] ram [8'hFF:8'h80]; // the ram itself. TODO: test the memory compiler
        reg [DATA_SIZE_:0] port_a;
        reg [DATA_SIZE_:0] port_a;
        reg [DATA_SIZE_:0] port_b;
        reg [DATA_SIZE_:0] port_b;
        reg [DATA_SIZE_:0] ddra;
        reg [DATA_SIZE_:0] ddra;
        reg [DATA_SIZE_:0] timer; // the timer
        reg [DATA_SIZE_:0] timer; // the timer
        reg c1_timer; // 1 clock cycle counter enable
        reg c1_timer; // 1 clock cycle counter enable
Line 74... Line 75...
        reg writing;
        reg writing;
        reg writing_at_timer;
        reg writing_at_timer;
 
 
        reg [DATA_SIZE_:0] data_drv; // wrapper for the data bus
        reg [DATA_SIZE_:0] data_drv; // wrapper for the data bus
 
 
        assign data = (rw_mem) ? 8'bZ: data_drv; // if under writing the bus receives the data from cpu, else local data.  
        assign data = (rw_mem || !reset_n) ? 8'bZ : data_drv; // if under writing the bus receives the data from cpu, else local data.  
 
 
        always @(posedge clk) begin // I/O handling
        always @(posedge clk or negedge reset_n) begin // I/O handling
 
                if (reset_n == 1'b0) begin
 
                        port_a <= 8'h00;
 
                        port_b <= 8'h00;
 
                        ddra <= 8'h00;
 
                end
 
                else begin
                port_b[0] <= ~io_lines[0]; // these two are not actually switches
                port_b[0] <= ~io_lines[0]; // these two are not actually switches
                port_b[1] <= ~io_lines[1];
                port_b[1] <= ~io_lines[1];
 
 
                if (io_lines[3]) begin // these are.
                if (io_lines[3]) begin // these are.
                        port_b[3] <= !port_b[3];
                        port_b[3] <= !port_b[3];
Line 90... Line 97...
                end
                end
                if (io_lines[7]) begin
                if (io_lines[7]) begin
                        port_b[7] <= !port_b[7];
                        port_b[7] <= !port_b[7];
                end
                end
 
 
                port_a[0] <= (ddra[0] == 0) ? io_lines[8] : port_a[0];
                        port_a[0] <= (ddra[0] == 1'b0) ? io_lines[8] : port_a[0];
                port_a[1] <= (ddra[1] == 0) ? io_lines[9] : port_a[1];
                        port_a[1] <= (ddra[1] == 1'b0) ? io_lines[9] : port_a[1];
                port_a[2] <= (ddra[2] == 0) ? io_lines[10] : port_a[2];
                        port_a[2] <= (ddra[2] == 1'b0) ? io_lines[10] : port_a[2];
                port_a[3] <= (ddra[3] == 0) ? io_lines[11] : port_a[3];
                        port_a[3] <= (ddra[3] == 1'b0) ? io_lines[11] : port_a[3];
                port_a[4] <= (ddra[4] == 0) ? io_lines[12] : port_a[4];
                        port_a[4] <= (ddra[4] == 1'b0) ? io_lines[12] : port_a[4];
                port_a[5] <= (ddra[5] == 0) ? io_lines[13] : port_a[5];
                        port_a[5] <= (ddra[5] == 1'b0) ? io_lines[13] : port_a[5];
                port_a[6] <= (ddra[6] == 0) ? io_lines[14] : port_a[6];
                        port_a[6] <= (ddra[6] == 1'b0) ? io_lines[14] : port_a[6];
                port_a[7] <= (ddra[7] == 0) ? io_lines[15] : port_a[7];
                        port_a[7] <= (ddra[7] == 1'b0) ? io_lines[15] : port_a[7];
 
                end
        end
        end
 
 
        always @(posedge clk) begin // R/W register/memory handling
        always @(posedge clk  or negedge reset_n) begin // R/W register/memory handling
 
                if (reset_n == 1'b0) begin
 
                        data_drv <= 8'h00;
 
                        c1_timer <= 1'b0;
 
                        c8_timer <= 1'b0;
 
                        c64_timer <= 1'b0;
 
                        c1024_timer <= 1'b0;
 
                        timer <= 8'h00;
 
                        flipped <= 1'b0;
 
                        counter <= 11'd0;
 
                end
 
                else begin
                if (reading) begin // reading! 
                if (reading) begin // reading! 
                        case (address)
                        case (address)
                                10'h280: data_drv <= port_a;
                                10'h280: data_drv <= port_a;
                                10'h281: data_drv <= ddra;
                                10'h281: data_drv <= ddra;
                                10'h282: data_drv <= port_b;
                                10'h282: data_drv <= port_b;
                                10'h283: data_drv <= 8'h00; // portb ddr is always input
                                10'h283: data_drv <= 8'h00; // portb ddr is always input
                                10'h284: data_drv <= timer;
                                10'h284: data_drv <= timer;
                                default: data_drv <= ram[address];
                                        default: data_drv <= ram[address[6:0]];
                        endcase
                        endcase
                end
                end
                else if (writing) begin // writing! 
                else if (writing) begin // writing! 
                        case (address)
                        case (address)
                                10'h294: begin
                                10'h294: begin
                                        c1_timer <= 1;
                                                c1_timer <= 1'b1;
                                        c8_timer <= 0;
                                                c8_timer <= 1'b0;
                                        c64_timer <= 0;
                                                c64_timer <= 1'b0;
                                        c1024_timer <= 0;
                                                c1024_timer <= 1'b0;
                                        timer <= data;
                                        timer <= data;
                                        flipped <= 0;
                                                flipped <= 1'b0;
                                        counter <= 1;
                                                counter <= 11'd1;
                                end
                                end
                                10'h295: begin
                                10'h295: begin
                                        c1_timer <= 0;
                                                c1_timer <= 1'b0;
                                        c8_timer <= 1;
                                                c8_timer <= 1'b1;
                                        c64_timer <= 0;
                                                c64_timer <= 1'b0;
                                        c1024_timer <= 0;
                                                c1024_timer <= 1'b0;
                                        timer <= data;
                                        timer <= data;
                                        flipped <= 0;
                                                flipped <= 1'b0;
                                        counter <= 8;
                                                counter <= 11'd8;
                                end
                                end
                                10'h296: begin
                                10'h296: begin
                                        c1_timer <= 0;
                                                c1_timer <= 1'b0;
                                        c8_timer <= 0;
                                                c8_timer <= 1'b0;
                                        c64_timer <= 1;
                                                c64_timer <= 1'b1;
                                        c1024_timer <= 0;
                                                c1024_timer <= 1'b0;
                                        timer <= data;
                                        timer <= data;
                                        flipped <= 0;
                                                flipped <= 1'b0;
                                        counter <= 64;
                                                counter <= 11'd64;
                                end
                                end
                                10'h297: begin
                                10'h297: begin
                                        c1_timer <= 0;
                                                c1_timer <= 1'b0;
                                        c8_timer <= 0;
                                                c8_timer <= 1'b0;
                                        c64_timer <= 0;
                                                c64_timer <= 1'b0;
                                        c1024_timer <= 1;
                                                c1024_timer <= 1'b1;
                                        timer <= data;
                                        timer <= data;
                                        flipped <= 0;
                                                flipped <= 1'b0;
                                        counter <= 1024;
                                                counter <= 11'd1024;
                                end
                                end
                                default: begin
                                default: begin
                                        ram[address] <= data;
                                                ram[address[6:0]] <= data;
                                end
                                end
                        endcase
                        endcase
                end
                end
        end
 
 
 
        always @(posedge clk) begin // timer!
 
                if (!writing_at_timer) begin
                if (!writing_at_timer) begin
                        if (counter == 0) begin
                                if (counter == 11'd0) begin
                                timer <= timer - 1;
                                        timer <= timer - 8'd1;
 
 
                                if (timer == 0) begin
                                        if (timer == 8'd0) begin
                                        flipped <= 1'b1;
                                        flipped <= 1'b1;
                                end
                                end
 
 
                                if (c1_timer || flipped) begin
                                if (c1_timer || flipped) begin
                                        counter <= 1;
                                                counter <= 11'd1;
                                end
                                end
                                if (c8_timer) begin
                                if (c8_timer) begin
                                        counter <= 8;
                                                counter <= 11'd8;
                                end
                                end
                                if (c64_timer) begin
                                if (c64_timer) begin
                                        counter <= 64;
                                                counter <= 11'd64;
                                end
                                end
                                if (c1024_timer) begin
                                if (c1024_timer) begin
                                        counter <= 1024;
                                                counter <= 11'd1024;
                                end
                                end
                        end
                        end
                        else begin
                        else begin
                                counter <= counter - 1;
                                        counter <= counter - 11'd1;
 
                                end
                        end
                        end
                end
                end
        end
        end
 
 
        always @(*) begin// logic for easier controlling
        always @(*) begin// logic for easier controlling
                reading = 1'b0;
                reading = 1'b0;
                writing = 1'b0;
                writing = 1'b0;
                writing_at_timer = 1'b0;
                writing_at_timer = 1'b0;
 
 
                if (enable && rw_mem == 0) begin
                if (enable && reset_n) begin
 
                        if (rw_mem == 1'b0) begin
                        reading = 1'b1;
                        reading = 1'b1;
                end
                end
                else if (enable && rw_mem) begin
                        else begin
                        writing = 1'b1;
                        writing = 1'b1;
 
 
                        if (address == 10'h294 || address == 10'h295 || address == 10'h296 || address == 10'h297) begin
                                if ( (address == 10'h294) || (address == 10'h295) || (address == 10'h296) || (address == 10'h297) ) begin
                                writing_at_timer = 1'b1;
                                writing_at_timer = 1'b1;
                        end
                        end
                end
                end
        end
        end
 
        end
 
 
endmodule
endmodule
 
 
 No newline at end of file
 No newline at end of file

powered by: WebSVN 2.1.0

© copyright 1999-2024 OpenCores.org, equivalent to Oliscience, all rights reserved. OpenCores®, registered trademark.