OpenCores
URL https://opencores.org/ocsvn/t6507lp/t6507lp/trunk

Subversion Repositories t6507lp

[/] [t6507lp/] [trunk/] [rtl/] [verilog/] [vga_controller.v] - Diff between revs 225 and 227

Go to most recent revision | Show entire file | Details | Blame | View Log

Rev 225 Rev 227
Line 42... Line 42...
////                                                                    ////
////                                                                    ////
////////////////////////////////////////////////////////////////////////////
////////////////////////////////////////////////////////////////////////////
 
 
`include "timescale.v"
`include "timescale.v"
 
 
module vga_controller ( reset, clk_50, line, vert_counter, SW, VGA_R, VGA_G, VGA_B, LEDR, VGA_VS, VGA_HS);
module vga_controller ( reset_n, clk_50, line, vert_counter, SW, VGA_R, VGA_G, VGA_B, LEDR, VGA_VS, VGA_HS);
 
 
input reset;
input reset_n;
input clk_50;
input clk_50;
input [8:0] SW;
input [8:0] SW;
input [479:0] line;
input [479:0] line;
input [4:0] vert_counter;
input [4:0] vert_counter;
output reg [3:0] VGA_R;
output reg [3:0] VGA_R;
Line 63... Line 63...
reg [9:0] vc;
reg [9:0] vc;
reg vsenable;
reg vsenable;
wire vidon;
wire vidon;
 
 
assign LEDR[8:0] = SW;
assign LEDR[8:0] = SW;
assign LEDR[9] = reset;
assign LEDR[9] = reset_n;
 
 
always @ (posedge clk_50 or negedge reset)
always @ (posedge clk_50 or negedge reset_n)
begin
begin
        if (!reset) begin
        if (!reset_n) begin
                clk_25 <= 0;
                clk_25 <= 0;
        end
        end
        else begin
        else begin
                clk_25 <= !clk_25;
                clk_25 <= !clk_25;
        end
        end
end
end
 
 
always @ (posedge clk_25 or negedge reset)
always @ (posedge clk_25 or negedge reset_n)
begin
begin
        if (!reset) begin
        if (!reset_n) begin
                hc <= 0;
                hc <= 0;
                VGA_HS <= 1;
                VGA_HS <= 1;
                vsenable <= 0;
                vsenable <= 0;
        end
        end
        else if (hc < 640) begin
        else if (hc < 640) begin
Line 109... Line 109...
                hc <= 0;
                hc <= 0;
                vsenable <= 1;
                vsenable <= 1;
        end
        end
end
end
 
 
always @ (posedge clk_25 or negedge reset)
always @ (posedge clk_25 or negedge reset_n)
begin
begin
        if (!reset) begin
        if (!reset_n) begin
                vc <= 0;
                vc <= 0;
                VGA_VS <= 1;
                VGA_VS <= 1;
        end
        end
        else begin
        else begin
                if (vsenable == 1) begin
                if (vsenable == 1) begin
Line 140... Line 140...
        end
        end
end
end
 
 
always @ (posedge clk_25)
always @ (posedge clk_25)
begin
begin
 
        VGA_R[0] <= 0;
 
        VGA_G[0] <= 0;
 
        VGA_B[0] <= 0;
 
        VGA_R[1] <= 0;
 
        VGA_G[1] <= 0;
 
        VGA_B[1] <= 0;
 
        VGA_R[2] <= 0;
 
        VGA_G[2] <= 0;
 
        VGA_B[2] <= 0;
 
        VGA_R[3] <= 0;
 
        VGA_G[3] <= 0;
 
        VGA_B[3] <= 0;
        if (vidon == 1) begin
        if (vidon == 1) begin
                if (hc < 40) begin
                if (hc < 40) begin
                        if (vert_counter < 10) begin
                        if (vc < 30) begin
 
                                if (vert_counter == 1) begin
                                /*VGA_R[0] <= line[hc*12];
                                /*VGA_R[0] <= line[hc*12];
                                VGA_R[1] <= line[hc*12+1];
                                VGA_R[1] <= line[hc*12+1];
                                VGA_R[2] <= line[hc*12+2];
                                VGA_R[2] <= line[hc*12+2];
                                VGA_R[3] <= line[hc*12+3];
                                VGA_R[3] <= line[hc*12+3];
                                VGA_G[0] <= line[hc*12+4];
                                VGA_G[0] <= line[hc*12+4];
Line 168... Line 181...
                                VGA_B[0] <= 1;
                                VGA_B[0] <= 1;
                                VGA_B[1] <= 0;
                                VGA_B[1] <= 0;
                                VGA_B[2] <= 1;
                                VGA_B[2] <= 1;
                                VGA_B[3] <= 0;
                                VGA_B[3] <= 0;
                        end
                        end
                        else begin
 
                                VGA_R[0] <= 0;
 
                                VGA_G[0] <= 0;
 
                                VGA_B[0] <= 0;
 
                                VGA_R[1] <= 0;
 
                                VGA_G[1] <= 0;
 
                                VGA_B[1] <= 0;
 
                                VGA_R[2] <= 0;
 
                                VGA_G[2] <= 0;
 
                                VGA_B[2] <= 0;
 
                                VGA_R[3] <= 0;
 
                                VGA_G[3] <= 0;
 
                                VGA_B[3] <= 0;
 
                end
                end
                end
                end
                else begin
 
                        VGA_R[0] <= 0;
 
                        VGA_G[0] <= 0;
 
                        VGA_B[0] <= 0;
 
                        VGA_R[1] <= 0;
 
                        VGA_G[1] <= 0;
 
                        VGA_B[1] <= 0;
 
                        VGA_R[2] <= 0;
 
                        VGA_G[2] <= 0;
 
                        VGA_B[2] <= 0;
 
                        VGA_R[3] <= 0;
 
                        VGA_G[3] <= 0;
 
                        VGA_B[3] <= 0;
 
                end
                end
 
 
/*                      if (vc < 240) begin
 
                                VGA_R[0] <= 1;
 
                                VGA_G[0] <= 1;
 
                                VGA_B[0] <= 1;
 
                                VGA_R[1] <= 1;
 
                                VGA_G[1] <= 1;
 
                                VGA_B[1] <= 1;
 
                                VGA_R[2] <= 1;
 
                                VGA_G[2] <= 1;
 
                                VGA_B[2] <= 1;
 
                                VGA_R[3] <= 1;
 
                                VGA_G[3] <= 1;
 
                                VGA_B[3] <= 1;
 
                        end
 
                        else begin
 
                                VGA_R[0] <= 0;
 
                                VGA_G[0] <= 0;
 
                                VGA_B[0] <= 1;
 
                                VGA_R[1] <= 0;
 
                                VGA_G[1] <= 0;
 
                                VGA_B[1] <= 1;
 
                                VGA_R[2] <= 0;
 
                                VGA_G[2] <= 0;
 
                                VGA_B[2] <= 1;
 
                                VGA_R[3] <= 0;
 
                                VGA_G[3] <= 0;
 
                                VGA_B[3] <= 1;
 
                        end
 
                end
 
                else begin
 
                        if (vc < 240) begin
 
                                VGA_R[0] <= 1;
 
                                VGA_G[0] <= 0;
 
                                VGA_B[0] <= 0;
 
                                VGA_R[1] <= 1;
 
                                VGA_G[1] <= 0;
 
                                VGA_B[1] <= 0;
 
                                VGA_R[2] <= 1;
 
                                VGA_G[2] <= 0;
 
                                VGA_B[2] <= 0;
 
                                VGA_R[3] <= 1;
 
                                VGA_G[3] <= 0;
 
                                VGA_B[3] <= 0;
 
                        end
 
                        else begin
 
                                VGA_R[0] <= 0;
 
                                VGA_G[0] <= 1;
 
                                VGA_B[0] <= 0;
 
                                VGA_R[1] <= 0;
 
                                VGA_G[1] <= 1;
 
                                VGA_B[1] <= 0;
 
                                VGA_R[2] <= 0;
 
                                VGA_G[2] <= 1;
 
                                VGA_B[2] <= 0;
 
                                VGA_R[3] <= 0;
 
                                VGA_G[3] <= 1;
 
                                VGA_B[3] <= 0;
 
                        end
 
                end
 
        end
 
        */
 
        end
 
        else begin
 
                VGA_R[0] <= 0;
 
                VGA_G[0] <= 0;
 
                VGA_B[0] <= 0;
 
                VGA_R[1] <= 0;
 
                VGA_G[1] <= 0;
 
                VGA_B[1] <= 0;
 
                VGA_R[2] <= 0;
 
                VGA_G[2] <= 0;
 
                VGA_B[2] <= 0;
 
                VGA_R[3] <= 0;
 
                VGA_G[3] <= 0;
 
                VGA_B[3] <= 0;
 
        end
 
 
 
end
end
 
 
assign vidon = (hc < 640 && vc < 480) ? 1 : 0;
assign vidon = (hc < 640 && vc < 480) ? 1 : 0;
 
 
endmodule
endmodule

powered by: WebSVN 2.1.0

© copyright 1999-2024 OpenCores.org, equivalent to Oliscience, all rights reserved. OpenCores®, registered trademark.