OpenCores
URL https://opencores.org/ocsvn/t6507lp/t6507lp/trunk

Subversion Repositories t6507lp

[/] [t6507lp/] [trunk/] [rtl/] [verilog/] [vga_controller.v] - Diff between revs 227 and 228

Go to most recent revision | Show entire file | Details | Blame | View Log

Rev 227 Rev 228
Line 154... Line 154...
        VGA_R[3] <= 0;
        VGA_R[3] <= 0;
        VGA_G[3] <= 0;
        VGA_G[3] <= 0;
        VGA_B[3] <= 0;
        VGA_B[3] <= 0;
        if (vidon == 1) begin
        if (vidon == 1) begin
                if (hc < 40) begin
                if (hc < 40) begin
                        if (vc < 30) begin
                        if (vert_counter == 15) begin
                                if (vert_counter == 1) begin
                                VGA_R[0] <= line[hc*12];
                                        /*VGA_R[0] <= line[hc*12];
                                VGA_R[1] <= line[hc*12+1];
                                        VGA_R[1] <= line[hc*12+1];
                                VGA_R[2] <= line[hc*12+2];
                                        VGA_R[2] <= line[hc*12+2];
                                VGA_R[3] <= line[hc*12+3];
                                        VGA_R[3] <= line[hc*12+3];
                                VGA_G[0] <= line[hc*12+4];
                                        VGA_G[0] <= line[hc*12+4];
                                VGA_G[1] <= line[hc*12+5];
                                        VGA_G[1] <= line[hc*12+5];
                                VGA_G[2] <= line[hc*12+6];
                                        VGA_G[2] <= line[hc*12+6];
                                VGA_G[3] <= line[hc*12+7];
                                        VGA_G[3] <= line[hc*12+7];
                                VGA_B[0] <= line[hc*12+8];
                                        VGA_B[0] <= line[hc*12+8];
                                VGA_B[1] <= line[hc*12+9];
                                        VGA_B[1] <= line[hc*12+9];
                                VGA_B[2] <= line[hc*12+10];
                                        VGA_B[2] <= line[hc*12+10];
                                VGA_B[3] <= line[hc*12+11];
                                        VGA_B[3] <= line[hc*12+11];*/
 
                                        VGA_R[0] <= 1;
 
                                        VGA_R[1] <= 0;
 
                                        VGA_R[2] <= 1;
 
                                        VGA_R[3] <= 0;
 
                                        VGA_G[0] <= 1;
 
                                        VGA_G[1] <= 0;
 
                                        VGA_G[2] <= 1;
 
                                        VGA_G[3] <= 0;
 
                                        VGA_B[0] <= 1;
 
                                        VGA_B[1] <= 0;
 
                                        VGA_B[2] <= 1;
 
                                        VGA_B[3] <= 0;
 
                                end
 
                        end
                        end
                end
                end
        end
        end
end
end
 
 

powered by: WebSVN 2.1.0

© copyright 1999-2024 OpenCores.org, equivalent to Oliscience, all rights reserved. OpenCores®, registered trademark.