OpenCores
URL https://opencores.org/ocsvn/t6507lp/t6507lp/trunk

Subversion Repositories t6507lp

[/] [t6507lp/] [trunk/] [rtl/] [verilog/] [video.v] - Diff between revs 237 and 238

Go to most recent revision | Show entire file | Details | Blame | View Log

Rev 237 Rev 238
Line 443... Line 443...
                                // 2            P1, M1
                                // 2            P1, M1
                                // 3            BL, PF
                                // 3            BL, PF
                                // 4            BK
                                // 4            BK
                        if (CTRLPF[0] == 1'b1) begin// reflected PF
                        if (CTRLPF[0] == 1'b1) begin// reflected PF
                                if (ENABL == 1'b1) begin // the ball is enabled
                                if (ENABL == 1'b1) begin // the ball is enabled
 
                                        if (vert_counter == bl_position) begin
 
                                                pixel = COLUPF;
 
                                        end
                                end
                                end
                                else begin
                                else begin
                                        if (vert_counter < 4) begin
                                        if (vert_counter < 4) begin
                                                pixel = (PF0[vert_counter] == 1'b1) ? COLUPF : COLUBK;
                                                pixel = (PF0[vert_counter] == 1'b1) ? COLUPF : COLUBK;
                                        end
                                        end
Line 487... Line 489...
                                else begin
                                else begin
                                        pixel = (PF2[vert_counter - 32] == 1'b1) ? COLUPF : COLUBK;
                                        pixel = (PF2[vert_counter - 32] == 1'b1) ? COLUPF : COLUBK;
                                end
                                end
                        end
                        end
                end
                end
                // 1: ordem de avaliacao
 
                // 2: pinta da cor do objeto
 
                // 3: senao pinta de bk
 
 
 
                        pixel = 3'd4;
                        pixel = 3'd4;
 
 
        end
        end
end
end
 
 
 
 
endmodule
endmodule
 
 
 
 
 No newline at end of file
 No newline at end of file

powered by: WebSVN 2.1.0

© copyright 1999-2024 OpenCores.org, equivalent to Oliscience, all rights reserved. OpenCores®, registered trademark.