OpenCores
URL https://opencores.org/ocsvn/t80/t80/trunk

Subversion Repositories t80

[/] [t80/] [trunk/] [rtl/] [vhdl/] [SSRAMX.vhd] - Diff between revs 27 and 34

Go to most recent revision | Show entire file | Details | Blame | View Log

Rev 27 Rev 34
Line 1... Line 1...
--
--
-- Xilinx Block RAM, 8 bit wide and variable size (Min. 512 bytes)
-- Xilinx Block RAM, 8 bit wide and variable size (Min. 512 bytes)
--
--
-- Version : 0240
-- Version : 0242
--
--
-- Copyright (c) 2002 Daniel Wallner (jesus@opencores.org)
-- Copyright (c) 2002 Daniel Wallner (jesus@opencores.org)
--
--
-- All rights reserved
-- All rights reserved
--
--
Line 44... Line 44...
--
--
-- File history :
-- File history :
--
--
--      0240 : Initial release
--      0240 : Initial release
--
--
 
--      0240 : Changed RAMB4_S8 to map by name
 
--
 
 
library IEEE;
library IEEE;
use IEEE.std_logic_1164.all;
use IEEE.std_logic_1164.all;
use IEEE.numeric_std.all;
use IEEE.numeric_std.all;
library UNISIM;
library UNISIM;
Line 94... Line 96...
 
 
        bG1: for I in 0 to RAMs - 1 generate
        bG1: for I in 0 to RAMs - 1 generate
        begin
        begin
                WEA(I) <= '1' when (CE_n nor WE_n) = '1' and biA_r = I else '0';
                WEA(I) <= '1' when (CE_n nor WE_n) = '1' and biA_r = I else '0';
                BSSRAM : RAMB4_S8
                BSSRAM : RAMB4_S8
                        port map (DIn, '1', WEA(I), '0', Clk, A_i, bRAMOut(I));
                        port map(
 
                                DI => DIn,
 
                                EN => '1',
 
                                WE => WEA(I),
 
                                RST => '0',
 
                                CLK => Clk,
 
                                ADDR => A_i,
 
                                DO => bRAMOut(I));
        end generate;
        end generate;
 
 
        process (biA_r, bRAMOut)
        process (biA_r, bRAMOut)
        begin
        begin
                DOut <= bRAMOut(0);
                DOut <= bRAMOut(0);

powered by: WebSVN 2.1.0

© copyright 1999-2024 OpenCores.org, equivalent to Oliscience, all rights reserved. OpenCores®, registered trademark.