OpenCores
URL https://opencores.org/ocsvn/t80/t80/trunk

Subversion Repositories t80

[/] [t80/] [trunk/] [rtl/] [vhdl/] [SSRAMX.vhd] - Diff between revs 34 and 41

Go to most recent revision | Show entire file | Details | Blame | View Log

Rev 34 Rev 41
Line 1... Line 1...
--
--
-- Xilinx Block RAM, 8 bit wide and variable size (Min. 512 bytes)
-- Xilinx Block RAM, 8 bit wide and variable size (Min. 512 bytes)
--
--
-- Version : 0242
-- Version : 0247
--
--
-- Copyright (c) 2002 Daniel Wallner (jesus@opencores.org)
-- Copyright (c) 2002 Daniel Wallner (jesus@opencores.org)
--
--
-- All rights reserved
-- All rights reserved
--
--
Line 44... Line 44...
--
--
-- File history :
-- File history :
--
--
--      0240 : Initial release
--      0240 : Initial release
--
--
--      0240 : Changed RAMB4_S8 to map by name
--      0242 : Changed RAMB4_S8 to map by name
 
--
 
--      0247 : Added RAMB4_S8 component declaration
--
--
 
 
library IEEE;
library IEEE;
use IEEE.std_logic_1164.all;
use IEEE.std_logic_1164.all;
use IEEE.numeric_std.all;
use IEEE.numeric_std.all;
library UNISIM;
 
use UNISIM.vcomponents.all;
 
 
 
entity SSRAM is
entity SSRAM is
        generic(
        generic(
                AddrWidth       : integer := 11;
                AddrWidth       : integer := 11;
                DataWidth       : integer := 8
                DataWidth       : integer := 8
Line 70... Line 70...
        );
        );
end SSRAM;
end SSRAM;
 
 
architecture rtl of SSRAM is
architecture rtl of SSRAM is
 
 
 
        component RAMB4_S8
 
                port(
 
                        DO     : out std_logic_vector(7 downto 0);
 
                        ADDR   : in std_logic_vector(8 downto 0);
 
                        CLK    : in std_ulogic;
 
                        DI     : in std_logic_vector(7 downto 0);
 
                        EN     : in std_ulogic;
 
                        RST    : in std_ulogic;
 
                        WE     : in std_ulogic);
 
        end component;
 
 
        constant RAMs : integer := (2 ** AddrWidth) / 512;
        constant RAMs : integer := (2 ** AddrWidth) / 512;
 
 
        type bRAMOut_a is array(0 to RAMs - 1) of std_logic_vector(7 downto 0);
        type bRAMOut_a is array(0 to RAMs - 1) of std_logic_vector(7 downto 0);
 
 
        signal bRAMOut : bRAMOut_a;
        signal bRAMOut : bRAMOut_a;

powered by: WebSVN 2.1.0

© copyright 1999-2024 OpenCores.org, equivalent to Oliscience, all rights reserved. OpenCores®, registered trademark.