OpenCores
URL https://opencores.org/ocsvn/t80/t80/trunk

Subversion Repositories t80

[/] [t80/] [trunk/] [rtl/] [vhdl/] [T80_Pack.vhd] - Diff between revs 22 and 25

Go to most recent revision | Show entire file | Details | Blame | View Log

Rev 22 Rev 25
Line 1... Line 1...
--
--
-- Z80 compatible microprocessor core
-- Z80 compatible microprocessor core
--
--
-- Version : 0237
-- Version : 0238
--
--
-- Copyright (c) 2001-2002 Daniel Wallner (jesus@opencores.org)
-- Copyright (c) 2001-2002 Daniel Wallner (jesus@opencores.org)
--
--
-- All rights reserved
-- All rights reserved
--
--
Line 62... Line 62...
                INT_n           : in std_logic;
                INT_n           : in std_logic;
                NMI_n           : in std_logic;
                NMI_n           : in std_logic;
                BUSRQ_n         : in std_logic;
                BUSRQ_n         : in std_logic;
                M1_n            : out std_logic;
                M1_n            : out std_logic;
                IORQ            : out std_logic;
                IORQ            : out std_logic;
 
                NoRead          : out std_logic;
                Write           : out std_logic;
                Write           : out std_logic;
                RFSH_n          : out std_logic;
                RFSH_n          : out std_logic;
                HALT_n          : out std_logic;
                HALT_n          : out std_logic;
                BUSAK_n         : out std_logic;
                BUSAK_n         : out std_logic;
                A                       : out std_logic_vector(15 downto 0);
                A                       : out std_logic_vector(15 downto 0);
Line 138... Line 139...
                I_INRC                  : out std_logic;
                I_INRC                  : out std_logic;
                SetDI                   : out std_logic;
                SetDI                   : out std_logic;
                SetEI                   : out std_logic;
                SetEI                   : out std_logic;
                IMode                   : out std_logic_vector(1 downto 0);
                IMode                   : out std_logic_vector(1 downto 0);
                Halt                    : out std_logic;
                Halt                    : out std_logic;
 
                NoRead                  : out std_logic;
                Write                   : out std_logic
                Write                   : out std_logic
        );
        );
        end component;
        end component;
 
 
        component T80_ALU
        component T80_ALU
        port(
        port(
                Arith16         : in std_logic;
                Arith16         : in std_logic;
 
                Z16                     : in std_logic;
                ALU_Op          : in std_logic_vector(3 downto 0);
                ALU_Op          : in std_logic_vector(3 downto 0);
                Rot_Op          : in std_logic;
                Rot_Op          : in std_logic;
                Bit_Op          : in std_logic_vector(1 downto 0);
                Bit_Op          : in std_logic_vector(1 downto 0);
                IR                      : in std_logic_vector(7 downto 0);
                IR                      : in std_logic_vector(7 downto 0);
                ISet            : in std_logic_vector(1 downto 0);
                ISet            : in std_logic_vector(1 downto 0);

powered by: WebSVN 2.1.0

© copyright 1999-2025 OpenCores.org, equivalent to Oliscience, all rights reserved. OpenCores®, registered trademark.