OpenCores
URL https://opencores.org/ocsvn/t80/t80/trunk

Subversion Repositories t80

[/] [t80/] [trunk/] [rtl/] [vhdl/] [T80se.vhd] - Diff between revs 29 and 35

Go to most recent revision | Show entire file | Details | Blame | View Log

Rev 29 Rev 35
Line 1... Line 1...
--
--
-- Z80 compatible microprocessor core, synchronous top level with clock enable
-- Z80 compatible microprocessor core, synchronous top level with clock enable
-- Different timing than the original z80
-- Different timing than the original z80
-- Inputs needs to be synchronous and outputs may glitch
-- Inputs needs to be synchronous and outputs may glitch
--
--
-- Version : 0240
-- Version : 0242
--
--
-- Copyright (c) 2001-2002 Daniel Wallner (jesus@opencores.org)
-- Copyright (c) 2001-2002 Daniel Wallner (jesus@opencores.org)
--
--
-- All rights reserved
-- All rights reserved
--
--
Line 54... Line 54...
--
--
--      0238 : Updated for T80 interface change
--      0238 : Updated for T80 interface change
--
--
--      0240 : Updated for T80 interface change
--      0240 : Updated for T80 interface change
--
--
 
--      0242 : Updated for T80 interface change
 
--
 
 
library IEEE;
library IEEE;
use IEEE.std_logic_1164.all;
use IEEE.std_logic_1164.all;
use IEEE.numeric_std.all;
use IEEE.numeric_std.all;
use work.T80_Pack.all;
use work.T80_Pack.all;
 
 
entity T80se is
entity T80se is
        generic(
        generic(
                Mode : integer := 0;     -- 0 => Z80, 1 => Fast Z80, 2 => 8080, 3 => GB
                Mode : integer := 0;     -- 0 => Z80, 1 => Fast Z80, 2 => 8080, 3 => GB
                T2Write : integer := 0   -- 0 => WR_n active in T3, /=0 => WR_n active in T2
                T2Write : integer := 0;  -- 0 => WR_n active in T3, /=0 => WR_n active in T2
 
                IOWait : integer := 1   -- 0 => Single cycle I/O, 1 => Std I/O cycle
        );
        );
        port(
        port(
                RESET_n         : in std_logic;
                RESET_n         : in std_logic;
                CLK_n           : in std_logic;
                CLK_n           : in std_logic;
                CLKEN           : in std_logic;
                CLKEN           : in std_logic;
Line 101... Line 104...
 
 
begin
begin
 
 
        u0 : T80
        u0 : T80
                generic map(
                generic map(
                        Mode => Mode)
                        Mode => Mode,
 
                        IOWait => IOWait)
                port map(
                port map(
                        CEN => CLKEN,
                        CEN => CLKEN,
                        M1_n => M1_n,
                        M1_n => M1_n,
                        IORQ => IORQ,
                        IORQ => IORQ,
                        NoRead => NoRead,
                        NoRead => NoRead,

powered by: WebSVN 2.1.0

© copyright 1999-2024 OpenCores.org, equivalent to Oliscience, all rights reserved. OpenCores®, registered trademark.