OpenCores
URL https://opencores.org/ocsvn/theia_gpu/theia_gpu/trunk

Subversion Repositories theia_gpu

[/] [theia_gpu/] [branches/] [beta_1.2/] [rtl/] [IO/] [Module_MEM2WBM.v] - Diff between revs 35 and 60

Go to most recent revision | Show entire file | Details | Blame | View Log

Rev 35 Rev 60
Line 87... Line 87...
 
 
);
);
 
 
MUXFULLPARALELL_3SEL_WALKINGONE MUXA
MUXFULLPARALELL_3SEL_WALKINGONE MUXA
 (
 (
 .Sel( wXYZSelector ),
 .Sel( wXYZSelector[2:0] ),
 .I2( iReadDataBus[63:32]),
 .I2( iReadDataBus[63:32]),
 .I1( iReadDataBus[95:64]),
 .I1( iReadDataBus[95:64]),
 .I3( iReadDataBus[31:0] ),
 .I3( iReadDataBus[31:0] ),
 .O1( oReadDataElement )
 .O1( oReadDataElement )
 
 
Line 99... Line 99...
 
 
 
 
 
 
MUXFULLPARALELL_3SEL_WALKINGONE MUXA2
MUXFULLPARALELL_3SEL_WALKINGONE MUXA2
 (
 (
 .Sel( wXYZSelector ),
 .Sel( wXYZSelector[2:0] ),
 .I2( iReadDataBus2[63:32]),
 .I2( iReadDataBus2[63:32]),
 .I1( iReadDataBus2[95:64]),
 .I1( iReadDataBus2[95:64]),
 .I3( iReadDataBus2[31:0] ),
 .I3( iReadDataBus2[31:0] ),
 .O1( oReadDataElement2 )
 .O1( oReadDataElement2 )
 );
 );

powered by: WebSVN 2.1.0

© copyright 1999-2024 OpenCores.org, equivalent to Oliscience, all rights reserved. OpenCores®, registered trademark.