OpenCores
URL https://opencores.org/ocsvn/theia_gpu/theia_gpu/trunk

Subversion Repositories theia_gpu

[/] [theia_gpu/] [branches/] [beta_1.2/] [rtl/] [MEM/] [Module_RAM.v] - Diff between revs 60 and 74

Go to most recent revision | Show entire file | Details | Blame | View Log

Rev 60 Rev 74
Line 21... Line 21...
***********************************************************************************/
***********************************************************************************/
//--------------------------------------------------------
//--------------------------------------------------------
//Dual port RAM.
//Dual port RAM.
 
 
 
 
module RAM_128_ROW_DUAL_READ_PORT # ( parameter DATA_WIDTH=`DATA_ROW_WIDTH, parameter ADDR_WIDTH=`DATA_ADDRESS_WIDTH )
module RAM_DUAL_READ_PORT # ( parameter DATA_WIDTH=`DATA_ROW_WIDTH, parameter ADDR_WIDTH=`DATA_ADDRESS_WIDTH, parameter MEM_SIZE=128 )
(
(
        input wire                                              Clock,
        input wire                                              Clock,
        input wire                                              iWriteEnable,
        input wire                                              iWriteEnable,
        input wire[ADDR_WIDTH-1:0]       iReadAddress0,
        input wire[ADDR_WIDTH-1:0]       iReadAddress0,
        input wire[ADDR_WIDTH-1:0]       iReadAddress1,
        input wire[ADDR_WIDTH-1:0]       iReadAddress1,
Line 33... Line 33...
        input wire[DATA_WIDTH-1:0]                       iDataIn,
        input wire[DATA_WIDTH-1:0]                       iDataIn,
        output reg [DATA_WIDTH-1:0]              oDataOut0,
        output reg [DATA_WIDTH-1:0]              oDataOut0,
        output reg [DATA_WIDTH-1:0]              oDataOut1
        output reg [DATA_WIDTH-1:0]              oDataOut1
);
);
 
 
reg [DATA_WIDTH-1:0] Ram [128:0];
reg [DATA_WIDTH-1:0] Ram [MEM_SIZE:0];
 
 
always @(posedge Clock)
always @(posedge Clock)
begin
begin
 
 
                if (iWriteEnable)
                if (iWriteEnable)

powered by: WebSVN 2.1.0

© copyright 1999-2024 OpenCores.org, equivalent to Oliscience, all rights reserved. OpenCores®, registered trademark.