OpenCores
URL https://opencores.org/ocsvn/theia_gpu/theia_gpu/trunk

Subversion Repositories theia_gpu

[/] [theia_gpu/] [branches/] [beta_2.0/] [rtl/] [Theia.v] - Diff between revs 213 and 229

Go to most recent revision | Show entire file | Details | Blame | View Log

Rev 213 Rev 229
Line 24... Line 24...
module THEIA
module THEIA
(
(
        input wire         Clock,
        input wire         Clock,
        input wire         Reset,
        input wire         Reset,
        input wire         iEnable,
        input wire         iEnable,
        input wire [31:0]  iMemReadData,
        input wire [31:0]  iMemReadData,                         //Data read from Main memory
        input wire         iMemDataAvailable,
        input wire         iMemDataAvailable,
        output wire [31:0] oMemReadAddress,
        output wire [31:0] oMemReadAddress,
        output wire        oMEM_ReadRequest
        output wire        oMEM_ReadRequest
 
 
);
);

powered by: WebSVN 2.1.0

© copyright 1999-2024 OpenCores.org, equivalent to Oliscience, all rights reserved. OpenCores®, registered trademark.