URL
https://opencores.org/ocsvn/theia_gpu/theia_gpu/trunk
Go to most recent revision |
Show entire file |
Details |
Blame |
View Log
Rev 219 |
Rev 229 |
Line 1... |
Line 1... |
`timescale 1ns / 1ps
|
`timescale 1ns / 1ps
|
`include "aDefinitions.v"
|
|
|
|
|
|
|
`define MAIN_MEMORY_DEPTH (255 * 2) //Each entry is 64 bits = 32 *2 * 255 entries
|
|
|
module testbench_theia_icarus;
|
module testbench_theia_icarus;
|
|
|
|
|
reg Clock;
|
reg Clock;
|
reg Reset;
|
reg Reset;
|
Line 36... |
Line 37... |
VectorProcessor_Dumper #(0) VP_Dump0();
|
VectorProcessor_Dumper #(0) VP_Dump0();
|
VectorProcessor_Dumper #(1) VP_Dump1();
|
VectorProcessor_Dumper #(1) VP_Dump1();
|
VectorProcessor_Dumper #(2) VP_Dump2();
|
VectorProcessor_Dumper #(2) VP_Dump2();
|
VectorProcessor_Dumper #(3) VP_Dump3();
|
VectorProcessor_Dumper #(3) VP_Dump3();
|
|
|
reg [31:0] MainMemory [255:0];
|
|
reg [31:0] InstMemory [31:0];
|
reg [31:0] MainMemory [`MAIN_MEMORY_DEPTH-1:0];
|
|
|
|
|
|
|
always @ (posedge Clock )
|
always @ (posedge Clock )
|
begin
|
begin
|
if (oMEM_ReadRequest)
|
if (oMEM_ReadRequest)
|
Line 52... |
Line 54... |
iMemReadData <= MainMemory[oMemReadAddress];
|
iMemReadData <= MainMemory[oMemReadAddress];
|
|
|
end
|
end
|
|
|
initial begin
|
initial begin
|
|
|
|
|
Clock = 0;
|
Clock = 0;
|
Reset = 0;
|
Reset = 0;
|
iEnable = 0;
|
iEnable = 0;
|
$readmemh("control_code.mem", uut.CP.InstructionRam.Ram);
|
$readmemh("control_code.mem", uut.CP.InstructionRam.Ram);
|
$readmemh("code.mem", MainMemory);
|
$readmemh("code.mem", MainMemory);
|
#110;
|
#110;
|
Reset = 1;
|
Reset = 1;
|
#40;
|
#40;
|
Reset = 0;
|
Reset = 0;
|
$dumpfile("dump.vcd");
|
|
$dumpvars(0,testbench_theia_icarus);
|
|
end
|
end
|
|
|
|
|
|
|
endmodule
|
endmodule
|
|
|
|
|
No newline at end of file
|
No newline at end of file
|
© copyright 1999-2025
OpenCores.org, equivalent to Oliscience, all rights reserved. OpenCores®, registered trademark.