OpenCores
URL https://opencores.org/ocsvn/theia_gpu/theia_gpu/trunk

Subversion Repositories theia_gpu

[/] [theia_gpu/] [branches/] [beta_2.0/] [testbench/] [testbench_theia_icarus.v] - Diff between revs 229 and 230

Show entire file | Details | Blame | View Log

Rev 229 Rev 230
Line 39... Line 39...
 VectorProcessor_Dumper #(2) VP_Dump2();
 VectorProcessor_Dumper #(2) VP_Dump2();
 VectorProcessor_Dumper #(3) VP_Dump3();
 VectorProcessor_Dumper #(3) VP_Dump3();
 
 
 
 
 reg [31:0] MainMemory [`MAIN_MEMORY_DEPTH-1:0];
 reg [31:0] MainMemory [`MAIN_MEMORY_DEPTH-1:0];
 
 reg [31:0] TMemory [`MAIN_MEMORY_DEPTH-1:0];
 
 
 
 
        always @ (posedge Clock )
        always @ (posedge Clock )
        begin
        begin
                if (oMEM_ReadRequest)
                if (oMEM_ReadRequest)
Line 59... Line 59...
                Clock = 0;
                Clock = 0;
                Reset = 0;
                Reset = 0;
                iEnable = 0;
                iEnable = 0;
                $readmemh("control_code.mem", uut.CP.InstructionRam.Ram);
                $readmemh("control_code.mem", uut.CP.InstructionRam.Ram);
                $readmemh("code.mem", MainMemory);
                $readmemh("code.mem", MainMemory);
 
                $readmemh("tmem.mem",TMemory);
 
                uut.BANK[0].TMEM.Ram[0] = TMemory[0];
 
                uut.BANK[1].TMEM.Ram[0] = TMemory[1];
 
                uut.BANK[2].TMEM.Ram[0] = TMemory[2];
                #110;
                #110;
      Reset = 1;
      Reset = 1;
                #40;
                #40;
                Reset = 0;
                Reset = 0;
 
 
 
                $dumpfile("testbench_theia_icarus.vcd");
 
                $dumpvars(0,testbench_theia_icarus);
        end
        end
 
 
 
 
 
 
endmodule
endmodule

powered by: WebSVN 2.1.0

© copyright 1999-2024 OpenCores.org, equivalent to Oliscience, all rights reserved. OpenCores®, registered trademark.