OpenCores
URL https://opencores.org/ocsvn/theia_gpu/theia_gpu/trunk

Subversion Repositories theia_gpu

[/] [theia_gpu/] [branches/] [gpu_16_cores/] [rtl/] [GPU/] [CORES/] [CONTROL/] [Unit_Control.v] - Diff between revs 113 and 116

Go to most recent revision | Show entire file | Details | Blame | View Log

Rev 113 Rev 116
Line 100... Line 100...
input wire                                   iGFUDone,
input wire                                   iGFUDone,
input wire                                   iGEOSync,
input wire                                   iGEOSync,
output reg                                   oTriggerTFF,
output reg                                   oTriggerTFF,
input wire                                   iTFFDone,
input wire                                   iTFFDone,
input wire                                   MST_I,
input wire                                   MST_I,
output reg[2:0]                              oRamBusOwner,
//output reg[2:0]                              //oRamBusOwner,
input wire                                   iIODone,
input wire                                   iIODone,
output reg                                   oSetCurrentPitch,
output reg                                   oSetCurrentPitch,
output reg                                   oFlipMemEnabled,
output reg                                   oFlipMemEnabled,
output reg                                   oFlipMem,
output reg                                   oFlipMem,
output reg                                   oIOWritePixel,
output reg                                   oIOWritePixel,
Line 181... Line 181...
 
 
        `ifdef DEBUG_CU
        `ifdef DEBUG_CU
                `LOGME"%d CU_AFTER_RESET_STATE\n",$time);
                `LOGME"%d CU_AFTER_RESET_STATE\n",$time);
        `endif
        `endif
 
 
                oRamBusOwner                            <= 0;
                //oRamBusOwner                          <= 0;
                oCodeInstructioPointer  <= `ENTRYPOINT_INDEX_INITIAL;
                oCodeInstructioPointer  <= `ENTRYPOINT_INDEX_INITIAL;
                oGFUEnable                                      <= 0;
                oGFUEnable                                      <= 0;
                oUCodeEnable                            <= 0;
                oUCodeEnable                            <= 0;
                oIOWritePixel                           <= 0;
                oIOWritePixel                           <= 0;
                rResetHitFlop                           <= 1;
                rResetHitFlop                           <= 1;
Line 208... Line 208...
        //$display("CORE: %d CU_WAIT_FOR_INITIAL_CONFIGURATION", iDebug_CoreID);
        //$display("CORE: %d CU_WAIT_FOR_INITIAL_CONFIGURATION", iDebug_CoreID);
//              `ifdef DEBUG_CU
//              `ifdef DEBUG_CU
//                      `LOGME"%d Control: CU_WAIT_FOR_INITIAL_CONFIGURATION\n",$time);
//                      `LOGME"%d Control: CU_WAIT_FOR_INITIAL_CONFIGURATION\n",$time);
//              `endif
//              `endif
 
 
                oRamBusOwner                            <= 0;
                //oRamBusOwner                          <= 0;
                oCodeInstructioPointer  <= 0;
                oCodeInstructioPointer  <= 0;
                oGFUEnable                                      <= 0;
                oGFUEnable                                      <= 0;
                oUCodeEnable                            <= 0;
                oUCodeEnable                            <= 0;
                oIOWritePixel                           <= 0;
                oIOWritePixel                           <= 0;
                rResetHitFlop                           <= 1;
                rResetHitFlop                           <= 1;
Line 234... Line 234...
        end
        end
        //-----------------------------------------
        //-----------------------------------------
        `CU_PERFORM_INTIAL_CONFIGURATION:
        `CU_PERFORM_INTIAL_CONFIGURATION:
        begin
        begin
        //$display("CORE: %d CU_PERFORM_INTIAL_CONFIGURATION", iDebug_CoreID);
        //$display("CORE: %d CU_PERFORM_INTIAL_CONFIGURATION", iDebug_CoreID);
        oRamBusOwner                            <= 0;
        //oRamBusOwner                          <= 0;
                oCodeInstructioPointer  <= 0;
                oCodeInstructioPointer  <= 0;
                oGFUEnable                                      <= 0;
                oGFUEnable                                      <= 0;
                oUCodeEnable                            <= 0;
                oUCodeEnable                            <= 0;
                oIOWritePixel                           <= 0;
                oIOWritePixel                           <= 0;
                rResetHitFlop                           <= 1;
                rResetHitFlop                           <= 1;
Line 264... Line 264...
        //$display("CORE: %d CU_CLEAR_REGISTERS", iDebug_CoreID);
        //$display("CORE: %d CU_CLEAR_REGISTERS", iDebug_CoreID);
        `ifdef DEBUG_CU
        `ifdef DEBUG_CU
                `LOGME"%d CU_CLEAR_REGISTERS\n",$time);
                `LOGME"%d CU_CLEAR_REGISTERS\n",$time);
        `endif
        `endif
 
 
                oRamBusOwner                            <= `REG_BUS_OWNED_BY_UCODE;
                //oRamBusOwner                          <= `REG_BUS_OWNED_BY_UCODE;
                oCodeInstructioPointer  <= `ENTRYPOINT_INDEX_INITIAL;
                oCodeInstructioPointer  <= `ENTRYPOINT_INDEX_INITIAL;
                oGFUEnable                                      <= 0;
                oGFUEnable                                      <= 0;
                oUCodeEnable                            <= 1;   //*
                oUCodeEnable                            <= 1;   //*
                oIOWritePixel                           <= 0;
                oIOWritePixel                           <= 0;
                rResetHitFlop                           <= 0;
                rResetHitFlop                           <= 0;
Line 290... Line 290...
        begin
        begin
//      `ifdef DEBUG_CU
//      `ifdef DEBUG_CU
//              `LOGME"%d CU_WAIT_CLEAR_REGISTERS\n",$time);
//              `LOGME"%d CU_WAIT_CLEAR_REGISTERS\n",$time);
//      `endif  
//      `endif  
                //$display("CORE: %d CU_WAIT_CLEAR_REGISTERS", iDebug_CoreID);
                //$display("CORE: %d CU_WAIT_CLEAR_REGISTERS", iDebug_CoreID);
                oRamBusOwner                            <= `REG_BUS_OWNED_BY_UCODE;
                //oRamBusOwner                          <= `REG_BUS_OWNED_BY_UCODE;
                oCodeInstructioPointer  <= `ENTRYPOINT_INDEX_INITIAL;
                oCodeInstructioPointer  <= `ENTRYPOINT_INDEX_INITIAL;
                oGFUEnable                                      <= 0;
                oGFUEnable                                      <= 0;
                oUCodeEnable                            <= 0;
                oUCodeEnable                            <= 0;
                oIOWritePixel                           <= 0;
                oIOWritePixel                           <= 0;
                rResetHitFlop                           <= 0;
                rResetHitFlop                           <= 0;
Line 321... Line 321...
                `LOGME"%d CU_ACK_CLEAR_REGISTERS\n", $time);
                `LOGME"%d CU_ACK_CLEAR_REGISTERS\n", $time);
        `endif
        `endif
 
 
        //$display("CORE: %d CU_ACK_CLEAR_REGISTERS", iDebug_CoreID);
        //$display("CORE: %d CU_ACK_CLEAR_REGISTERS", iDebug_CoreID);
 
 
                oRamBusOwner                            <= 0;
                //oRamBusOwner                          <= 0;
                oCodeInstructioPointer  <= 0;
                oCodeInstructioPointer  <= 0;
                oGFUEnable                                      <= 0;
                oGFUEnable                                      <= 0;
                oUCodeEnable                            <= 0; //*        
                oUCodeEnable                            <= 0; //*        
                oIOWritePixel                           <= 0;
                oIOWritePixel                           <= 0;
                rResetHitFlop                           <= 0;
                rResetHitFlop                           <= 0;
Line 352... Line 352...
//              `endif
//              `endif
 
 
 
 
//$display("CORE: %d CU_WAIT_FOR_CONFIG_DATA_READ", iDebug_CoreID);
//$display("CORE: %d CU_WAIT_FOR_CONFIG_DATA_READ", iDebug_CoreID);
 
 
                oRamBusOwner                            <= 0;//`REG_BUS_OWNED_BY_BCU;
                //oRamBusOwner                          <= 0;//`REG_BUS_OWNED_BY_BCU;
                oCodeInstructioPointer  <= 0;
                oCodeInstructioPointer  <= 0;
                oGFUEnable                                      <= 0;
                oGFUEnable                                      <= 0;
                oUCodeEnable                            <= 0;
                oUCodeEnable                            <= 0;
                oIOWritePixel                           <= 0;
                oIOWritePixel                           <= 0;
                rResetHitFlop                           <= 0;
                rResetHitFlop                           <= 0;
Line 381... Line 381...
//$display("CORE: %d CU_PRECALCULATE_CONSTANTS", iDebug_CoreID);
//$display("CORE: %d CU_PRECALCULATE_CONSTANTS", iDebug_CoreID);
        `ifdef DEBUG_CU
        `ifdef DEBUG_CU
                `LOGME"%d Control: CU_PRECALCULATE_CONSTANTS\n", $time);
                `LOGME"%d Control: CU_PRECALCULATE_CONSTANTS\n", $time);
        `endif
        `endif
 
 
                oRamBusOwner                            <= `REG_BUS_OWNED_BY_UCODE;
                //oRamBusOwner                          <= `REG_BUS_OWNED_BY_UCODE;
                oCodeInstructioPointer  <= `ENTRYPOINT_INDEX_CPPU;
                oCodeInstructioPointer  <= `ENTRYPOINT_INDEX_CPPU;
                oGFUEnable                              <= 0;
                oGFUEnable                              <= 0;
                oUCodeEnable                            <= 1; //*       
                oUCodeEnable                            <= 1; //*       
                oIOWritePixel                           <= 0;
                oIOWritePixel                           <= 0;
                rResetHitFlop                           <= 0;
                rResetHitFlop                           <= 0;
Line 407... Line 407...
//      `ifdef DEBUG_CU
//      `ifdef DEBUG_CU
//              `LOGME"%d Control: CU_WAIT_FOR_CONSTANT\n", $time);
//              `LOGME"%d Control: CU_WAIT_FOR_CONSTANT\n", $time);
//      `endif
//      `endif
 
 
 
 
                oRamBusOwner                            <= `REG_BUS_OWNED_BY_UCODE;
                //oRamBusOwner                          <= `REG_BUS_OWNED_BY_UCODE;
                oCodeInstructioPointer  <= `ENTRYPOINT_INDEX_CPPU;
                oCodeInstructioPointer  <= `ENTRYPOINT_INDEX_CPPU;
                oGFUEnable                                 <= 0;
                oGFUEnable                                 <= 0;
                oUCodeEnable                            <= 0; //*        
                oUCodeEnable                            <= 0; //*        
                oIOWritePixel                           <= 0;
                oIOWritePixel                           <= 0;
                rResetHitFlop                           <= 0;
                rResetHitFlop                           <= 0;
Line 437... Line 437...
        `ifdef DEBUG_CU
        `ifdef DEBUG_CU
                `LOGME"%d Control: CU_ACK_PRECALCULATE_CONSTANTS\n", $time);
                `LOGME"%d Control: CU_ACK_PRECALCULATE_CONSTANTS\n", $time);
        `endif
        `endif
 
 
 
 
                oRamBusOwner                            <= 0;//`REG_BUS_OWNED_BY_BCU;
                //oRamBusOwner                          <= 0;//`REG_BUS_OWNED_BY_BCU;
                oCodeInstructioPointer  <= 0;
                oCodeInstructioPointer  <= 0;
                oGFUEnable                                 <= 0;
                oGFUEnable                                 <= 0;
                oUCodeEnable                            <= 0; //*        
                oUCodeEnable                            <= 0; //*        
                oIOWritePixel                           <= 0;
                oIOWritePixel                           <= 0;
                rResetHitFlop                           <= 0;
                rResetHitFlop                           <= 0;
Line 465... Line 465...
                `LOGME"%d Control: CU_TRIGGER_USERCONSTANTS\n",$time);
                `LOGME"%d Control: CU_TRIGGER_USERCONSTANTS\n",$time);
        `endif
        `endif
 
 
                //$display("CORE: %d CU_TRIGGER_USERCONSTANTS", iDebug_CoreID);
                //$display("CORE: %d CU_TRIGGER_USERCONSTANTS", iDebug_CoreID);
 
 
                oRamBusOwner                            <= `REG_BUS_OWNED_BY_UCODE;
                //oRamBusOwner                          <= `REG_BUS_OWNED_BY_UCODE;
                oCodeInstructioPointer  <= `ENTRYPOINT_INDEX_USERCONSTANTS;
                oCodeInstructioPointer  <= `ENTRYPOINT_INDEX_USERCONSTANTS;
                oGFUEnable                                      <= 0;
                oGFUEnable                                      <= 0;
                oUCodeEnable                            <= 1;   //*
                oUCodeEnable                            <= 1;   //*
                oIOWritePixel                           <= 0;
                oIOWritePixel                           <= 0;
                rResetHitFlop                           <= 0;
                rResetHitFlop                           <= 0;
Line 490... Line 490...
 
 
//      `ifdef DEBUG_CU
//      `ifdef DEBUG_CU
//              `LOGME"%d Control: CU_WAIT_FOR_RGU\n",$time);
//              `LOGME"%d Control: CU_WAIT_FOR_RGU\n",$time);
//      `endif
//      `endif
 
 
                oRamBusOwner                            <= `REG_BUS_OWNED_BY_UCODE;
                //oRamBusOwner                          <= `REG_BUS_OWNED_BY_UCODE;
                oCodeInstructioPointer  <= `ENTRYPOINT_INDEX_USERCONSTANTS;
                oCodeInstructioPointer  <= `ENTRYPOINT_INDEX_USERCONSTANTS;
                oGFUEnable                                      <= 0;
                oGFUEnable                                      <= 0;
                oUCodeEnable                            <= 0;
                oUCodeEnable                            <= 0;
                oIOWritePixel                           <= 0;
                oIOWritePixel                           <= 0;
                rResetHitFlop                           <= 0;
                rResetHitFlop                           <= 0;
Line 520... Line 520...
                `LOGME"%d Control: CU_ACK_RGU\n",$time);
                `LOGME"%d Control: CU_ACK_RGU\n",$time);
        `endif
        `endif
 
 
        //$display("CORE: %d CU_ACK_USERCONSTANTS", iDebug_CoreID);
        //$display("CORE: %d CU_ACK_USERCONSTANTS", iDebug_CoreID);
 
 
                oRamBusOwner                            <= `REG_BUS_OWNED_BY_UCODE;
                //oRamBusOwner                          <= `REG_BUS_OWNED_BY_UCODE;
                oCodeInstructioPointer  <= 0;
                oCodeInstructioPointer  <= 0;
                oGFUEnable                                      <= 0;
                oGFUEnable                                      <= 0;
                oUCodeEnable                            <= 0; //*        
                oUCodeEnable                            <= 0; //*        
                oIOWritePixel                           <= 0;
                oIOWritePixel                           <= 0;
                rResetHitFlop                           <= 0;
                rResetHitFlop                           <= 0;
Line 547... Line 547...
        `CU_WAIT_FOR_RENDER_ENABLE:
        `CU_WAIT_FOR_RENDER_ENABLE:
        begin
        begin
        `ifdef DEBUG_CU
        `ifdef DEBUG_CU
        $display("CORE: %d CU_WAIT_FOR_RENDER_ENABLE", iDebug_CoreID);
        $display("CORE: %d CU_WAIT_FOR_RENDER_ENABLE", iDebug_CoreID);
        `endif
        `endif
                oRamBusOwner                            <= `REG_BUS_OWNED_BY_UCODE;
                //oRamBusOwner                          <= `REG_BUS_OWNED_BY_UCODE;
                oCodeInstructioPointer  <= 0;
                oCodeInstructioPointer  <= 0;
                oGFUEnable                                      <= 0;
                oGFUEnable                                      <= 0;
                oUCodeEnable                            <= 0; //*        
                oUCodeEnable                            <= 0; //*        
                oIOWritePixel                           <= 0;
                oIOWritePixel                           <= 0;
                rResetHitFlop                           <= 0;
                rResetHitFlop                           <= 0;
Line 576... Line 576...
        `ifdef DEBUG_CU
        `ifdef DEBUG_CU
                `LOGME"CORE: %d CU_TRIGGER_RGU", iDebug_CoreID);
                `LOGME"CORE: %d CU_TRIGGER_RGU", iDebug_CoreID);
        `endif
        `endif
 
 
 
 
                oRamBusOwner                            <= `REG_BUS_OWNED_BY_UCODE;
                //oRamBusOwner                          <= `REG_BUS_OWNED_BY_UCODE;
                oCodeInstructioPointer  <= `ENTRYPOINT_INDEX_RGU;
                oCodeInstructioPointer  <= `ENTRYPOINT_INDEX_RGU;
                oGFUEnable                                      <= 0;
                oGFUEnable                                      <= 0;
                oUCodeEnable                            <= 1;   //*
                oUCodeEnable                            <= 1;   //*
                oIOWritePixel                           <= 0;
                oIOWritePixel                           <= 0;
                rResetHitFlop                           <= 0;
                rResetHitFlop                           <= 0;
Line 601... Line 601...
 
 
//      `ifdef DEBUG_CU
//      `ifdef DEBUG_CU
//              `LOGME"%d Control: CU_WAIT_FOR_RGU\n",$time);
//              `LOGME"%d Control: CU_WAIT_FOR_RGU\n",$time);
//      `endif
//      `endif
 
 
                oRamBusOwner                            <= `REG_BUS_OWNED_BY_UCODE;
                //oRamBusOwner                          <= `REG_BUS_OWNED_BY_UCODE;
                oCodeInstructioPointer  <= 0;
                oCodeInstructioPointer  <= 0;
                oGFUEnable                                      <= 0;
                oGFUEnable                                      <= 0;
                oUCodeEnable                            <= 0;
                oUCodeEnable                            <= 0;
                oIOWritePixel                           <= 0;
                oIOWritePixel                           <= 0;
                rResetHitFlop                           <= 0;
                rResetHitFlop                           <= 0;
Line 628... Line 628...
        begin
        begin
 
 
        `ifdef DEBUG_CU
        `ifdef DEBUG_CU
                `LOGME"CORE: %d CU_ACK_RGU", iDebug_CoreID);
                `LOGME"CORE: %d CU_ACK_RGU", iDebug_CoreID);
        `endif
        `endif
                oRamBusOwner                            <= `REG_BUS_OWNED_BY_UCODE;
                //oRamBusOwner                          <= `REG_BUS_OWNED_BY_UCODE;
                oCodeInstructioPointer  <= 0;
                oCodeInstructioPointer  <= 0;
                oGFUEnable                                      <= 0;
                oGFUEnable                                      <= 0;
                oUCodeEnable                            <= 0; //*        
                oUCodeEnable                            <= 0; //*        
                oIOWritePixel                           <= 0;
                oIOWritePixel                           <= 0;
                rResetHitFlop                           <= 0;
                rResetHitFlop                           <= 0;
Line 657... Line 657...
        ////$display("CU_TRIGGER_TCC");
        ////$display("CU_TRIGGER_TCC");
        `ifdef DEBUG_CU
        `ifdef DEBUG_CU
                `LOGME"%d CORE %d Control: CU_TRIGGER_TCC\n",$time,iDebug_CoreID);
                `LOGME"%d CORE %d Control: CU_TRIGGER_TCC\n",$time,iDebug_CoreID);
        `endif
        `endif
 
 
           oRamBusOwner                                 <= `REG_BUS_OWNED_BY_UCODE;
           //oRamBusOwner                               <= `REG_BUS_OWNED_BY_UCODE;
                oCodeInstructioPointer  <= `ENTRYPOINT_INDEX_TCC;
                oCodeInstructioPointer  <= `ENTRYPOINT_INDEX_TCC;
                oUCodeEnable                            <= 1;   //*
                oUCodeEnable                            <= 1;   //*
                oGFUEnable                                      <= 0;
                oGFUEnable                                      <= 0;
                oIOWritePixel                           <= 0;
                oIOWritePixel                           <= 0;
                rResetHitFlop                           <= 0;
                rResetHitFlop                           <= 0;
Line 680... Line 680...
        //-----------------------------------------
        //-----------------------------------------
        `WAIT_FOR_TCC:
        `WAIT_FOR_TCC:
        begin
        begin
 
 
        ////$display("WAIT_FOR_TCC");
        ////$display("WAIT_FOR_TCC");
           oRamBusOwner                                 <= `REG_BUS_OWNED_BY_UCODE;
           //oRamBusOwner                               <= `REG_BUS_OWNED_BY_UCODE;
                oCodeInstructioPointer  <= `ENTRYPOINT_INDEX_TCC;
                oCodeInstructioPointer  <= `ENTRYPOINT_INDEX_TCC;
                oUCodeEnable                            <= 0;    //*
                oUCodeEnable                            <= 0;    //*
                oGFUEnable                                      <= 0;
                oGFUEnable                                      <= 0;
                oIOWritePixel                           <= 0;
                oIOWritePixel                           <= 0;
                rResetHitFlop                           <= 0;
                rResetHitFlop                           <= 0;
Line 706... Line 706...
        //-----------------------------------------
        //-----------------------------------------
        `CU_ACK_TCC:
        `CU_ACK_TCC:
        begin
        begin
 
 
        ////$display("WAIT_FOR_TCC");
        ////$display("WAIT_FOR_TCC");
           oRamBusOwner                                 <= `REG_BUS_OWNED_BY_UCODE;
           //oRamBusOwner                               <= `REG_BUS_OWNED_BY_UCODE;
                oCodeInstructioPointer  <= `ENTRYPOINT_INDEX_TCC;
                oCodeInstructioPointer  <= `ENTRYPOINT_INDEX_TCC;
                oUCodeEnable                            <= 0;    //*
                oUCodeEnable                            <= 0;    //*
                oGFUEnable                                      <= 0;
                oGFUEnable                                      <= 0;
                oIOWritePixel                           <= 0;
                oIOWritePixel                           <= 0;
                rResetHitFlop                           <= 0;
                rResetHitFlop                           <= 0;
Line 750... Line 750...
        `ifdef DEBUG_CU
        `ifdef DEBUG_CU
                `LOGME"%d CORE %d Control: CU_CHECK_HIT\n",$time,iDebug_CoreID);
                `LOGME"%d CORE %d Control: CU_CHECK_HIT\n",$time,iDebug_CoreID);
        `endif
        `endif
 
 
 
 
                oRamBusOwner                            <= `REG_BUS_OWNED_BY_GFU;
                //oRamBusOwner                          <= `REG_BUS_OWNED_BY_GFU;
                oCodeInstructioPointer  <= 0;
                oCodeInstructioPointer  <= 0;
                oUCodeEnable                            <= 0;
                oUCodeEnable                            <= 0;
                oGFUEnable                                      <= 0;    ///CHANGED Aug 15
                oGFUEnable                                      <= 0;    ///CHANGED Aug 15
                oIOWritePixel                           <= 0;
                oIOWritePixel                           <= 0;
                rResetHitFlop                           <= 0;
                rResetHitFlop                           <= 0;
Line 784... Line 784...
 
 
        `ifdef DEBUG_CU
        `ifdef DEBUG_CU
                `LOGME"%d Control: CU_TRIGGER_PSU_WITH_TEXTURE\n",$time);
                `LOGME"%d Control: CU_TRIGGER_PSU_WITH_TEXTURE\n",$time);
        `endif
        `endif
 
 
           oRamBusOwner                                 <= `REG_BUS_OWNED_BY_UCODE;
           //oRamBusOwner                               <= `REG_BUS_OWNED_BY_UCODE;
                oCodeInstructioPointer  <= `ENTRYPOINT_INDEX_PSU2;
                oCodeInstructioPointer  <= `ENTRYPOINT_INDEX_PSU2;
                oUCodeEnable                            <= 1;
                oUCodeEnable                            <= 1;
                oGFUEnable                                      <= 0;
                oGFUEnable                                      <= 0;
                oIOWritePixel                           <= 0;
                oIOWritePixel                           <= 0;
                rResetHitFlop                           <= 1;
                rResetHitFlop                           <= 1;
Line 806... Line 806...
        end
        end
        //-----------------------------------------
        //-----------------------------------------
        //Wait until data from Host becomes available
        //Wait until data from Host becomes available
        `CU_WAIT_FOR_HOST_DATA_AVAILABLE:
        `CU_WAIT_FOR_HOST_DATA_AVAILABLE:
        begin
        begin
                oRamBusOwner                            <= `REG_BUS_OWNED_BY_UCODE;
                //oRamBusOwner                          <= `REG_BUS_OWNED_BY_UCODE;
                oCodeInstructioPointer  <= 0;
                oCodeInstructioPointer  <= 0;
                oUCodeEnable                            <= 0;
                oUCodeEnable                            <= 0;
                oGFUEnable                                      <= 0;
                oGFUEnable                                      <= 0;
                oIOWritePixel                           <= 0;
                oIOWritePixel                           <= 0;
                rResetHitFlop                           <= 0;
                rResetHitFlop                           <= 0;
Line 834... Line 834...
        begin
        begin
        `ifdef DEBUG_CU
        `ifdef DEBUG_CU
                `LOGME"%d CORE: %d Control: CU_TRIGGER_MAIN\n",$time,iDebug_CoreID);
                `LOGME"%d CORE: %d Control: CU_TRIGGER_MAIN\n",$time,iDebug_CoreID);
        `endif
        `endif
 
 
                        oRamBusOwner                            <= `REG_BUS_OWNED_BY_UCODE;
                        //oRamBusOwner                          <= `REG_BUS_OWNED_BY_UCODE;
                        oCodeInstructioPointer  <= `ENTRYPOINT_INDEX_MAIN;
                        oCodeInstructioPointer  <= `ENTRYPOINT_INDEX_MAIN;
                        oUCodeEnable                            <= 1;
                        oUCodeEnable                            <= 1;
                        oGFUEnable                                      <= 1;
                        oGFUEnable                                      <= 1;
                        oIOWritePixel                           <= 0;
                        oIOWritePixel                           <= 0;
                        rResetHitFlop                           <= 0;
                        rResetHitFlop                           <= 0;
Line 861... Line 861...
        begin
        begin
//      `ifdef DEBUG_CU
//      `ifdef DEBUG_CU
//              `LOGME"%d Control: CU_WAIT_FOR_MAIN\n",$time);
//              `LOGME"%d Control: CU_WAIT_FOR_MAIN\n",$time);
//      `endif
//      `endif
 
 
                        oRamBusOwner                            <= `REG_BUS_OWNED_BY_UCODE;
                        //oRamBusOwner                          <= `REG_BUS_OWNED_BY_UCODE;
                        oCodeInstructioPointer  <= `ENTRYPOINT_INDEX_MAIN;
                        oCodeInstructioPointer  <= `ENTRYPOINT_INDEX_MAIN;
                        oUCodeEnable                            <= 0;
                        oUCodeEnable                            <= 0;
                        oGFUEnable                                      <= 1;
                        oGFUEnable                                      <= 1;
                        oIOWritePixel                           <= 0;
                        oIOWritePixel                           <= 0;
                        rResetHitFlop                           <= 0;
                        rResetHitFlop                           <= 0;
Line 895... Line 895...
        begin
        begin
        `ifdef DEBUG_CU
        `ifdef DEBUG_CU
                `LOGME"%d CORE: %d Control: CU_ACK_MAIN\n",$time, iDebug_CoreID);
                `LOGME"%d CORE: %d Control: CU_ACK_MAIN\n",$time, iDebug_CoreID);
        `endif
        `endif
 
 
                        oRamBusOwner                            <= `REG_BUS_OWNED_BY_GFU;
                        //oRamBusOwner                          <= `REG_BUS_OWNED_BY_GFU;
                        oCodeInstructioPointer  <= 0; //*
                        oCodeInstructioPointer  <= 0; //*
                        oUCodeEnable                            <= 0;    //*
                        oUCodeEnable                            <= 0;    //*
                        oGFUEnable                                      <= 0; //Changed Aug 15
                        oGFUEnable                                      <= 0; //Changed Aug 15
                        oIOWritePixel                           <= 0;
                        oIOWritePixel                           <= 0;
                        rResetHitFlop                           <= 0;
                        rResetHitFlop                           <= 0;
Line 930... Line 930...
 
 
//      `ifdef DEBUG_CU
//      `ifdef DEBUG_CU
//              `LOGME"%d Control: CU_TRIGGER_PSU\n",$time);
//              `LOGME"%d Control: CU_TRIGGER_PSU\n",$time);
//      `endif
//      `endif
 
 
                oRamBusOwner                            <= `REG_BUS_OWNED_BY_UCODE;
                //oRamBusOwner                          <= `REG_BUS_OWNED_BY_UCODE;
                oCodeInstructioPointer  <= `ENTRYPOINT_INDEX_PSU;
                oCodeInstructioPointer  <= `ENTRYPOINT_INDEX_PSU;
                oUCodeEnable                            <= 0;
                oUCodeEnable                            <= 0;
                oGFUEnable                                      <= 0;
                oGFUEnable                                      <= 0;
                oIOWritePixel                           <= 0;
                oIOWritePixel                           <= 0;
                rResetHitFlop                           <= 0;
                rResetHitFlop                           <= 0;
Line 959... Line 959...
        begin
        begin
        `ifdef DEBUG_CU
        `ifdef DEBUG_CU
                `LOGME"%d CORE: %d Control: CU_ACK_PSU\n",$time, iDebug_CoreID);
                `LOGME"%d CORE: %d Control: CU_ACK_PSU\n",$time, iDebug_CoreID);
        `endif
        `endif
 
 
                oRamBusOwner                            <= `REG_BUS_OWNED_BY_UCODE;
                //oRamBusOwner                          <= `REG_BUS_OWNED_BY_UCODE;
                oCodeInstructioPointer  <= 0;    //*
                oCodeInstructioPointer  <= 0;    //*
                oUCodeEnable                            <= 0;    //*
                oUCodeEnable                            <= 0;    //*
                oGFUEnable                                      <= 0;
                oGFUEnable                                      <= 0;
                oIOWritePixel                           <= 0;
                oIOWritePixel                           <= 0;
                rResetHitFlop                           <= 0;
                rResetHitFlop                           <= 0;
Line 991... Line 991...
        `ifdef DEBUG_CU
        `ifdef DEBUG_CU
                `LOGME"%d CORE: %d Control: CU_TRIGGER_NPU\n",$time, iDebug_CoreID);
                `LOGME"%d CORE: %d Control: CU_TRIGGER_NPU\n",$time, iDebug_CoreID);
        `endif
        `endif
                $write("*");
                $write("*");
 
 
                oRamBusOwner                            <= `REG_BUS_OWNED_BY_UCODE;
                //oRamBusOwner                          <= `REG_BUS_OWNED_BY_UCODE;
                oCodeInstructioPointer  <= `ENTRYPOINT_INDEX_NPG;       //*
                oCodeInstructioPointer  <= `ENTRYPOINT_INDEX_NPG;       //*
                oUCodeEnable                            <= 1;   //*
                oUCodeEnable                            <= 1;   //*
                oGFUEnable                                      <= 0;
                oGFUEnable                                      <= 0;
                oIOWritePixel                           <= 0;
                oIOWritePixel                           <= 0;
                rResetHitFlop                           <= 0;
                rResetHitFlop                           <= 0;
Line 1011... Line 1011...
                NextState <= `CU_WAIT_NPU;
                NextState <= `CU_WAIT_NPU;
        end
        end
        //-----------------------------------------
        //-----------------------------------------
        `CU_WAIT_NPU:
        `CU_WAIT_NPU:
        begin
        begin
                oRamBusOwner                            <= `REG_BUS_OWNED_BY_UCODE;
                //oRamBusOwner                          <= `REG_BUS_OWNED_BY_UCODE;
                oCodeInstructioPointer  <= `ENTRYPOINT_INDEX_NPG;
                oCodeInstructioPointer  <= `ENTRYPOINT_INDEX_NPG;
                oUCodeEnable                            <= 0;
                oUCodeEnable                            <= 0;
                oGFUEnable                                      <= 0;
                oGFUEnable                                      <= 0;
                oIOWritePixel                           <= 0;
                oIOWritePixel                           <= 0;
                rResetHitFlop                           <= 0;
                rResetHitFlop                           <= 0;
Line 1043... Line 1043...
        begin
        begin
        `ifdef DEBUG_CU
        `ifdef DEBUG_CU
                `LOGME"%d CORE: %d Control: CU_ACK_NPU\n",$time, iDebug_CoreID);
                `LOGME"%d CORE: %d Control: CU_ACK_NPU\n",$time, iDebug_CoreID);
        `endif
        `endif
 
 
                oRamBusOwner                            <= `REG_BUS_OWNED_BY_UCODE;
                //oRamBusOwner                          <= `REG_BUS_OWNED_BY_UCODE;
                oCodeInstructioPointer  <= 0;    //*
                oCodeInstructioPointer  <= 0;    //*
                oUCodeEnable                            <= 0;    //*
                oUCodeEnable                            <= 0;    //*
                oGFUEnable                                      <= 0;
                oGFUEnable                                      <= 0;
                oIOWritePixel                           <= 0;
                oIOWritePixel                           <= 0;
                rResetHitFlop                           <= 0;
                rResetHitFlop                           <= 0;
Line 1070... Line 1070...
 
 
        end
        end
        //-----------------------------------------
        //-----------------------------------------
        `CU_DONE:
        `CU_DONE:
        begin
        begin
                oRamBusOwner                            <= `REG_BUS_OWNED_BY_UCODE;
                //oRamBusOwner                          <= `REG_BUS_OWNED_BY_UCODE;
                oCodeInstructioPointer  <= 0;
                oCodeInstructioPointer  <= 0;
                oUCodeEnable                            <= 0;
                oUCodeEnable                            <= 0;
                oGFUEnable                                      <= 0;
                oGFUEnable                                      <= 0;
                oIOWritePixel                           <= 0;
                oIOWritePixel                           <= 0;
                rResetHitFlop                           <= 0;
                rResetHitFlop                           <= 0;
Line 1099... Line 1099...
        begin
        begin
        `ifdef DEBUG_CU
        `ifdef DEBUG_CU
                `LOGME"%d Control: CU_TRIGGER_PSU\n",$time);
                `LOGME"%d Control: CU_TRIGGER_PSU\n",$time);
        `endif
        `endif
 
 
                oRamBusOwner                            <= `REG_BUS_OWNED_BY_UCODE;
                //oRamBusOwner                          <= `REG_BUS_OWNED_BY_UCODE;
                oCodeInstructioPointer  <= `ENTRYPOINT_INDEX_PIXELSHADER;
                oCodeInstructioPointer  <= `ENTRYPOINT_INDEX_PIXELSHADER;
                oUCodeEnable                            <= 1;
                oUCodeEnable                            <= 1;
                oGFUEnable                                      <= 0;//*
                oGFUEnable                                      <= 0;//*
                oIOWritePixel                           <= 0;
                oIOWritePixel                           <= 0;
                rResetHitFlop                           <= 0;
                rResetHitFlop                           <= 0;
Line 1125... Line 1125...
 
 
//      `ifdef DEBUG_CU
//      `ifdef DEBUG_CU
//              `LOGME"%d Control: CU_TRIGGER_PSU\n",$time);
//              `LOGME"%d Control: CU_TRIGGER_PSU\n",$time);
//      `endif
//      `endif
 
 
                oRamBusOwner                            <= `REG_BUS_OWNED_BY_UCODE;
                //oRamBusOwner                          <= `REG_BUS_OWNED_BY_UCODE;
                oCodeInstructioPointer  <= `ENTRYPOINT_INDEX_PIXELSHADER;
                oCodeInstructioPointer  <= `ENTRYPOINT_INDEX_PIXELSHADER;
                oUCodeEnable                            <= 0;
                oUCodeEnable                            <= 0;
                oGFUEnable                                      <= 0;
                oGFUEnable                                      <= 0;
                oIOWritePixel                           <= 0;
                oIOWritePixel                           <= 0;
                rResetHitFlop                           <= 0;
                rResetHitFlop                           <= 0;
Line 1154... Line 1154...
        begin
        begin
        `ifdef DEBUG_CU
        `ifdef DEBUG_CU
                `LOGME"%d Control: CU_ACK_PSU\n",$time);
                `LOGME"%d Control: CU_ACK_PSU\n",$time);
        `endif
        `endif
 
 
                oRamBusOwner                            <= `REG_BUS_OWNED_BY_UCODE;
                //oRamBusOwner                          <= `REG_BUS_OWNED_BY_UCODE;
                oCodeInstructioPointer  <= 0;    //*
                oCodeInstructioPointer  <= 0;    //*
                oUCodeEnable                            <= 0;    //*
                oUCodeEnable                            <= 0;    //*
                oGFUEnable                                      <= 0;
                oGFUEnable                                      <= 0;
                oIOWritePixel                           <= 0;
                oIOWritePixel                           <= 0;
                rResetHitFlop                           <= 0;
                rResetHitFlop                           <= 0;
Line 1184... Line 1184...
 
 
        `ifdef DEBUG_CU
        `ifdef DEBUG_CU
                `LOGME"%d Control: ERROR Undefined State\n",$time);
                `LOGME"%d Control: ERROR Undefined State\n",$time);
        `endif
        `endif
 
 
                oRamBusOwner                            <= 0;
                //oRamBusOwner                          <= 0;
                oCodeInstructioPointer  <= 0;
                oCodeInstructioPointer  <= 0;
                oUCodeEnable                            <= 0;
                oUCodeEnable                            <= 0;
                oGFUEnable                                      <= 0;
                oGFUEnable                                      <= 0;
                oIOWritePixel                           <= 0;
                oIOWritePixel                           <= 0;
                rResetHitFlop                           <= 0;
                rResetHitFlop                           <= 0;

powered by: WebSVN 2.1.0

© copyright 1999-2024 OpenCores.org, equivalent to Oliscience, all rights reserved. OpenCores®, registered trademark.