OpenCores
URL https://opencores.org/ocsvn/theia_gpu/theia_gpu/trunk

Subversion Repositories theia_gpu

[/] [theia_gpu/] [branches/] [gpu_16_cores/] [test_bench/] [TestBench_THEIA.v] - Diff between revs 135 and 137

Show entire file | Details | Blame | View Log

Rev 135 Rev 137
Line 67... Line 67...
        reg [`WB_WIDTH-1:0]                      TMADR_O,TMDAT_O;
        reg [`WB_WIDTH-1:0]                      TMADR_O,TMDAT_O;
        reg [`MAX_TMEM_BANKS-1:0]        TMSEL_O;
        reg [`MAX_TMEM_BANKS-1:0]        TMSEL_O;
        reg                                                             TMWE_O;
        reg                                                             TMWE_O;
        reg [31:0]                                               rControlRegister[2:0];
        reg [31:0]                                               rControlRegister[2:0];
        integer                                                         file, log;
        integer                                                         file, log;
        reg [31:0]                                       rSceneParameters[64:0];
        reg [31:0] rSceneParameters[128:0];
        reg [31:0]                                               rVertexBuffer[6000:0];
        reg [31:0]                                               rVertexBuffer[6000:0];
        reg [31:0]                                               rInstructionBuffer[512:0];
        reg [31:0]                                               rInstructionBuffer[512:0];
        reg [31:0]                                       rTextures[`TEXTURE_BUFFER_SIZE:0];               //Lets asume we use 256*256 textures
        reg [31:0]                                       rTextures[`TEXTURE_BUFFER_SIZE:0];               //Lets asume we use 256*256 textures
        reg [7:0]                                                rScreen[`MAX_SCREENBUFFER-1:0];
        reg [7:0]                                                rScreen[`MAX_SCREENBUFFER-1:0];
        wire [`MAX_CORES-1:0]            wCoreSelect;
        wire [`MAX_CORES-1:0]            wCoreSelect;
Line 215... Line 215...
 
 
if (wDone == 1'b1)
if (wDone == 1'b1)
begin
begin
 
 
        $display("Partition Size = %d",`PARTITION_SIZE);
        $display("Partition Size = %d",`PARTITION_SIZE);
        for (kk = 0; kk < 4; kk = kk+1)
        for (kk = 0; kk < `MAX_CORES; kk = kk+1)
                        begin
                        begin
                        wOMEMBankSelect = kk;
                        wOMEMBankSelect = kk;
                                $display("wOMEMBankSelect = %d\n",wOMEMBankSelect);
                                $display("wOMEMBankSelect = %d\n",wOMEMBankSelect);
                                for (j=0; j < `PARTITION_SIZE; j=j+1)//for (j = 0; j < 15; j = j+1)                                                                      //LOOK OUT 15 is hardcoded!!!!!!!!
                                for (j=0; j < `PARTITION_SIZE; j=j+1)//for (j = 0; j < 15; j = j+1)                                                                      //LOOK OUT 15 is hardcoded!!!!!!!!
                                begin
                                begin
Line 253... Line 253...
end
end
 
 
 
 
reg [15:0] rTimeOut;
reg [15:0] rTimeOut;
 
 
                `define MAX_INSTRUCTIONS 2
        //      `define MAX_INSTRUCTIONS 2
 
 
        initial begin
        initial begin
                // Initialize Inputs
                // Initialize Inputs
 
 
 
 
Line 329... Line 329...
                $display("Intilializing TMEM @ %dns",$time);
                $display("Intilializing TMEM @ %dns",$time);
                //starts in 2 to skip Width and Height
                //starts in 2 to skip Width and Height
                for (k = 0;k < `TEXTURE_BUFFER_SIZE; k = k + 1)
                for (k = 0;k < `TEXTURE_BUFFER_SIZE; k = k + 1)
                begin
                begin
 
 
                        TMADR_O <= (k >> (`MAX_TMEM_BANKS/2));
                        TMADR_O <= (k >> (`MAX_CORE_BITS));
                        TMSEL_O <= (k & (`MAX_TMEM_BANKS-1));
                        TMSEL_O <= (k & (`MAX_TMEM_BANKS-1));           //X mod 2^n == X & (2^n - 1)
                        TMDAT_O <= rTextures[k];
                        TMDAT_O <= rTextures[k];
                        #10;
                        #10;
                end
                end
                $display("Done Intilializing TMEM @ %dns",$time);
                $display("Done Intilializing TMEM @ %dns",$time);
                TMWE_O = 0;
                TMWE_O = 0;

powered by: WebSVN 2.1.0

© copyright 1999-2024 OpenCores.org, equivalent to Oliscience, all rights reserved. OpenCores®, registered trademark.