OpenCores
URL https://opencores.org/ocsvn/theia_gpu/theia_gpu/trunk

Subversion Repositories theia_gpu

[/] [theia_gpu/] [branches/] [gpu_8_cores/] [rtl/] [Collaterals/] [Collaterals.v] - Diff between revs 36 and 63

Go to most recent revision | Show entire file | Details | Blame | View Log

Rev 36 Rev 63
Line 377... Line 377...
      else
      else
        Q = D;
        Q = D;
    end
    end
endmodule
endmodule
//------------------------------------------------
//------------------------------------------------
 
 
module FF16_POSEDGE_SYNCRONOUS_RESET
module FF16_POSEDGE_SYNCRONOUS_RESET
        (
        (
        input wire Clock,
        input wire Clock,
        input wire Clear,
        input wire Clear,
        input wire[15:0] D,
        input wire[15:0] D,
Line 472... Line 473...
 
 
endmodule
endmodule
 
 
 
 
//------------------------------------------------
//------------------------------------------------
module MUXFULLPARALELL_1Bit_1SEL
/*
 (
module MUXFULLPARALELL_1Bit_1SEL
 input wire Sel,
 (
 input wire I1, I2,
 input wire Sel,
 output reg O1
 input wire I1, I2,
 );
 output reg O1
 
 );
 
 
 
 
 
 
always @( * )
always @( * )
 
 
  begin
  begin
 
 
    case (Sel)
    case (Sel)
 
 
      1'b0: O1 = I1;
      1'b0: O1 = I1;
      1'b1: O1 = I2;
      1'b1: O1 = I2;
 
 
    endcase
    endcase
 
 
  end
  end
 
 
endmodule
endmodule
 
*/
//--------------------------------------------------------------
//--------------------------------------------------------------
module FFD_OPCODE_POSEDGE
/*
(
module FFD_OPCODE_POSEDGE
        input wire Clock,
(
        input wire[`INSTRUCTION_OP_LENGTH-1:0] D,
        input wire Clock,
        output reg[`INSTRUCTION_OP_LENGTH-1:0] Q
        input wire[`INSTRUCTION_OP_LENGTH-1:0] D,
);
        output reg[`INSTRUCTION_OP_LENGTH-1:0] Q
 
);
 
 
        always @ (posedge Clock)
        always @ (posedge Clock)
                Q <= D;
                Q <= D;
 
 
endmodule
endmodule
 
*/
//--------------------------------------------------------------
//--------------------------------------------------------------
module FFD16_POSEDGE
/*
(
module FFD16_POSEDGE
        input wire Clock,
(
        input wire[15:0] D,
        input wire Clock,
        output reg[15:0] Q
        input wire[15:0] D,
);
        output reg[15:0] Q
 
);
 
 
        always @ (posedge Clock)
        always @ (posedge Clock)
                Q <= D;
                Q <= D;
 
 
endmodule
endmodule
 
*/
//--------------------------------------------------------------
//--------------------------------------------------------------
 
 
  module FFT1
  module FFT1
  (
  (
   input wire D,
   input wire D,

powered by: WebSVN 2.1.0

© copyright 1999-2024 OpenCores.org, equivalent to Oliscience, all rights reserved. OpenCores®, registered trademark.