OpenCores
URL https://opencores.org/ocsvn/theia_gpu/theia_gpu/trunk

Subversion Repositories theia_gpu

[/] [theia_gpu/] [branches/] [gpu_8_cores/] [rtl/] [Collaterals/] [Module_FixedPointAddtionSubstraction.v] - Diff between revs 43 and 60

Go to most recent revision | Show entire file | Details | Blame | View Log

Rev 43 Rev 60
Line 1... Line 1...
`timescale 1ns / 1ps
`timescale 1ns / 1ps
`include "aDefinitions.v"
`include "aDefinitions.v"
 
 
 
 
//-----------------------------------------------------------
//-----------------------------------------------------------
 
module INCREMENT # ( parameter SIZE=`WIDTH )
 
(
 
input    wire                                   Clock,
 
input  wire                                     Reset,
 
input  wire[SIZE-1:0]    A,
 
output reg [SIZE-1:0]    R
 
);
 
always @ (posedge Clock)
 
begin
 
        R = A + 1;
 
end
 
 
 
 
 
endmodule
 
//-----------------------------------------------------------
module FixedAddSub
module FixedAddSub
(
(
input    wire                                   Clock,
input    wire                                   Clock,
input  wire                                     Reset,
input  wire                                     Reset,
input  wire[`LONG_WIDTH-1:0]     A,
input  wire[`LONG_WIDTH-1:0]     A,

powered by: WebSVN 2.1.0

© copyright 1999-2024 OpenCores.org, equivalent to Oliscience, all rights reserved. OpenCores®, registered trademark.