OpenCores
URL https://opencores.org/ocsvn/theia_gpu/theia_gpu/trunk

Subversion Repositories theia_gpu

[/] [theia_gpu/] [branches/] [gpu_8_cores/] [rtl/] [GPU/] [HOST/] [Module_Host.v] - Diff between revs 128 and 129

Show entire file | Details | Blame | View Log

Rev 128 Rev 129
Line 37... Line 37...
`define TAG_INSTRUCTION_ADDRESS_TYPE 2'b01
`define TAG_INSTRUCTION_ADDRESS_TYPE 2'b01
`define TAG_DATA_ADDRESS_TYPE        2'b10
`define TAG_DATA_ADDRESS_TYPE        2'b10
`define SELECT_INST_MEM              3'b00
`define SELECT_INST_MEM              3'b00
`define SELECT_SCENE_MEM             3'b01
`define SELECT_SCENE_MEM             3'b01
`define SELECT_GEO_MEM               3'b10
`define SELECT_GEO_MEM               3'b10
`define SELECT_ALL_CORES `MAX_CORES'b1111                       //XXX: Change for more cores
`define SELECT_ALL_CORES `MAX_CORES'b11111111                   //XXX: Change for more cores
 
 
`define HOST_IDLE                       0
`define HOST_IDLE                       0
`define HOST_WRITE_INSTRUCTION          1
`define HOST_WRITE_INSTRUCTION          1
`define HOST_WAIT_INSTRUCTION           2
`define HOST_WAIT_INSTRUCTION           2
`define HOST_WRITE_SCENE_PARAMS         3
`define HOST_WRITE_SCENE_PARAMS         3

powered by: WebSVN 2.1.0

© copyright 1999-2024 OpenCores.org, equivalent to Oliscience, all rights reserved. OpenCores®, registered trademark.