OpenCores
URL https://opencores.org/ocsvn/theia_gpu/theia_gpu/trunk

Subversion Repositories theia_gpu

[/] [theia_gpu/] [branches/] [icarus_version/] [rtl/] [Collaterals.v] - Diff between revs 175 and 176

Show entire file | Details | Blame | View Log

Rev 175 Rev 176
Line 97... Line 97...
//assign O = En & (1 << Sel);
//assign O = En & (1 << Sel);
 
 
endmodule
endmodule
 
 
//----------------------------------------------------------------------
//----------------------------------------------------------------------
 
module MUXFULLPARALELL_GENERIC #(parameter  WIDTH = `WIDTH, parameter  CHANNELS = 4, parameter SELBITS = 2)
 
(
 
 
 
    input wire   [(CHANNELS*WIDTH)-1:0]      in_bus,
 
    input wire   [SELBITS-1:0]    sel,
 
 
 
    output wire [WIDTH-1:0]                 out
 
    );
 
 
 
genvar ig;
 
 
 
wire    [WIDTH-1:0] input_array [0:CHANNELS-1];
 
 
 
assign  out = input_array[sel];
 
 
 
generate
 
    for(ig=0; ig<CHANNELS; ig=ig+1)
 
         begin: array_assignments
 
        assign  input_array[ig] = in_bus[(ig*WIDTH)+:WIDTH];
 
    end
 
endgenerate
 
 
 
 
 
 
 
endmodule
 
//----------------------------------------------------------------------
module MUXFULLPARALELL_2SEL_GENERIC # ( parameter SIZE=`WIDTH )
module MUXFULLPARALELL_2SEL_GENERIC # ( parameter SIZE=`WIDTH )
 (
 (
 input wire [1:0] Sel,
 input wire [1:0] Sel,
 input wire [SIZE-1:0]I1, I2, I3,I4,
 input wire [SIZE-1:0]I1, I2, I3,I4,
 output reg [SIZE-1:0] O1
 output reg [SIZE-1:0] O1

powered by: WebSVN 2.1.0

© copyright 1999-2024 OpenCores.org, equivalent to Oliscience, all rights reserved. OpenCores®, registered trademark.