OpenCores
URL https://opencores.org/ocsvn/theia_gpu/theia_gpu/trunk

Subversion Repositories theia_gpu

[/] [theia_gpu/] [branches/] [icarus_version/] [rtl/] [Module_ExecutionFSM.v] - Diff between revs 175 and 178

Go to most recent revision | Show entire file | Details | Blame | View Log

Rev 175 Rev 178
Line 91... Line 91...
wire wOperationIsJump;
wire wOperationIsJump;
assign wOperationIsJump = iBranchTaken || iBranchNotTaken;
assign wOperationIsJump = iBranchTaken || iBranchNotTaken;
 
 
//Don't allow me to write back back if the operation is a NOP
//Don't allow me to write back back if the operation is a NOP
`ifdef DEBUG
`ifdef DEBUG
 assign oRAMWriteEnable = iALUOutputReady && !wOperationIsJump &&
 assign oRAMWriteEnable = iALUOutputReady && (!wOperationIsJump || oALUOperation == `RET ) &&
  (oALUOperation != `NOP) && oALUOperation != `DEBUG_PRINT;
  (oALUOperation != `NOP) && oALUOperation != `DEBUG_PRINT;
`else
`else
 assign oRAMWriteEnable = iALUOutputReady && !wOperationIsJump && oALUOperation != `NOP;
 assign oRAMWriteEnable = iALUOutputReady && (!wOperationIsJump || oALUOperation == `RET) && oALUOperation != `NOP;
`endif
`endif
 
 
 
 
assign RAMBus = ( oRAMWriteEnable ) ? {iALUResultX,iALUResultY,iALUResultZ} : `DATA_ROW_WIDTH'bz;
assign RAMBus = ( oRAMWriteEnable ) ? {iALUResultX,iALUResultY,iALUResultZ} : `DATA_ROW_WIDTH'bz;
 
 
Line 358... Line 358...
     `SWIZZLE3D: `LOGME"SWIZZLE3D");
     `SWIZZLE3D: `LOGME"SWIZZLE3D");
     `MULP:  `LOGME"MULP");
     `MULP:  `LOGME"MULP");
     `XCHANGEX:  `LOGME"XCHANGEX");
     `XCHANGEX:  `LOGME"XCHANGEX");
     `IMUL:      `LOGME"IMUL");
     `IMUL:      `LOGME"IMUL");
     `UNSCALE:      `LOGME"UNSCALE");
     `UNSCALE:      `LOGME"UNSCALE");
 
          `RESCALE:    `LOGME"UNSCALE");
     `INCX: `LOGME"INCX");
     `INCX: `LOGME"INCX");
     `INCY: `LOGME"INCY");
     `INCY: `LOGME"INCY");
     `INCZ: `LOGME"INCZ");
     `INCZ: `LOGME"INCZ");
     `OMWRITE: `LOGME"OMWRITE");
     `OMWRITE: `LOGME"OMWRITE");
     `TMREAD: `LOGME"TMREAD");
     `TMREAD: `LOGME"TMREAD");

powered by: WebSVN 2.1.0

© copyright 1999-2024 OpenCores.org, equivalent to Oliscience, all rights reserved. OpenCores®, registered trademark.