OpenCores
URL https://opencores.org/ocsvn/theia_gpu/theia_gpu/trunk

Subversion Repositories theia_gpu

[/] [theia_gpu/] [branches/] [icarus_version/] [rtl/] [Module_FixedPointAddtionSubstraction.v] - Diff between revs 166 and 175

Go to most recent revision | Show entire file | Details | Blame | View Log

Rev 166 Rev 175
Line 22... Line 22...
(
(
input    wire                                   Clock,
input    wire                                   Clock,
input  wire                                     Reset,
input  wire                                     Reset,
input  wire[`LONG_WIDTH-1:0]     A,
input  wire[`LONG_WIDTH-1:0]     A,
input  wire[`LONG_WIDTH-1:0]     B,
input  wire[`LONG_WIDTH-1:0]     B,
output reg[`LONG_WIDTH-1:0]      R,
output wire [`LONG_WIDTH-1:0]    R,
input   wire                                            iOperation,
input   wire                                            iOperation,
input   wire                                    iInputReady,            //Is the input data valid?
input   wire                                    iInputReady,            //Is the input data valid?
output wire                                     OutputReady             //Our output data is ready!
output wire                                     OutputReady             //Our output data is ready!
);
);
 
 
Line 47... Line 47...
        .D( iInputReady ),
        .D( iInputReady ),
        .Q( OutputReady )
        .Q( OutputReady )
);
);
 
 
 
 
//-------------------------------       
assign R = ( A + wB );
always @ (posedge Clock)
 
begin
 
 
 
if (iInputReady == 1)
 
begin
 
          R = ( A + wB );
 
end
 
else
 
begin
 
                R = 64'hFFFFFFFF;
 
 
 
end
 
 
 
end // always
 
 
 
endmodule
endmodule
 No newline at end of file
 No newline at end of file

powered by: WebSVN 2.1.0

© copyright 1999-2024 OpenCores.org, equivalent to Oliscience, all rights reserved. OpenCores®, registered trademark.