OpenCores
URL https://opencores.org/ocsvn/theia_gpu/theia_gpu/trunk

Subversion Repositories theia_gpu

[/] [theia_gpu/] [branches/] [icarus_version/] [rtl/] [Module_HostWBM.v] - Diff between revs 166 and 174

Go to most recent revision | Show entire file | Details | Blame | View Log

Rev 166 Rev 174
Line 51... Line 51...
  .Initial(3'b1),
  .Initial(3'b1),
  .O(wXYZSel_Short)
  .O(wXYZSel_Short)
 
 
        );
        );
 
 
        assign oDone = (iShortFlow) ? wXYZSel_Short[2] : wXYZSel_Long[3];
        //`ifdef VERILATOR
 
                wire wDone = (iShortFlow) ? wXYZSel_Short[2] : wXYZSel_Long[3];
 
                //Adding FFD to break combinatorial loop.
 
                //Host::wShortCycle -> WBM::iShortCycle -> WBM::wDone -> Host::wWBMDone -> Host::always ->Host::oMemSelect -> Host::wShortCycle
 
                FFD_POSEDGE_SYNCRONOUS_RESET # ( 1 ) FFD_DONE
 
        (
 
              .Clock(Clock),
 
              .Reset(Reset),
 
              .Enable( 1'b1 ),
 
              .D(wDone),
 
              .Q(oDone)
 
        );
 
        //`else
 
        //      assign oDone = (iShortFlow) ? wXYZSel_Short[2] : wXYZSel_Long[3];
 
        //`endif        
 
 
        assign DAT_O = iReadData;
        assign DAT_O = iReadData;
 
 
        assign CYC_O = iEnable;
        assign CYC_O = iEnable;
 
 
        always @ (posedge Clock)
        always @ (posedge Clock)

powered by: WebSVN 2.1.0

© copyright 1999-2024 OpenCores.org, equivalent to Oliscience, all rights reserved. OpenCores®, registered trademark.