OpenCores
URL https://opencores.org/ocsvn/theia_gpu/theia_gpu/trunk

Subversion Repositories theia_gpu

[/] [theia_gpu/] [branches/] [icarus_version/] [rtl/] [Module_ROM.v] - Diff between revs 166 and 175

Go to most recent revision | Show entire file | Details | Blame | View Log

Rev 166 Rev 175
Line 233... Line 233...
64: I = { `INCY ,`CREG_PIXEL_2D_POSITION ,`CREG_PIXEL_2D_POSITION ,`VOID };
64: I = { `INCY ,`CREG_PIXEL_2D_POSITION ,`CREG_PIXEL_2D_POSITION ,`VOID };
65: I = { `JGEY ,`LABEL_ALLDONE ,`CREG_PIXEL_2D_POSITION ,`CREG_PIXEL_2D_FINAL_POSITION };
65: I = { `JGEY ,`LABEL_ALLDONE ,`CREG_PIXEL_2D_POSITION ,`CREG_PIXEL_2D_FINAL_POSITION };
66: I = { `RETURN ,`RT_TRUE   };
66: I = { `RETURN ,`RT_TRUE   };
 
 
//LABEL_ALLDONE:
//LABEL_ALLDONE:
67: I = { `NOP ,`VOID ,`VOID  };
67: I = { `NOP ,`RT_FALSE   };
68: I = { `RETURN ,`RT_FALSE   };
68: I = { `RETURN ,`RT_FALSE   };
 
 
//----------------------------------------------------------------------
//----------------------------------------------------------------------
//Micro code for AABBIU
//Micro code for AABBIU
//TAG_AABBIU_UCODE_ADDRESS:
//TAG_AABBIU_UCODE_ADDRESS:

powered by: WebSVN 2.1.0

© copyright 1999-2024 OpenCores.org, equivalent to Oliscience, all rights reserved. OpenCores®, registered trademark.