OpenCores
URL https://opencores.org/ocsvn/theia_gpu/theia_gpu/trunk

Subversion Repositories theia_gpu

[/] [theia_gpu/] [branches/] [icarus_version/] [rtl/] [Module_ROM.v] - Diff between revs 176 and 178

Go to most recent revision | Show entire file | Details | Blame | View Log

Rev 176 Rev 178
Line 49... Line 49...
`define RAY_INSIDE_BOX                          `R3
`define RAY_INSIDE_BOX                          `R3
`define CURRENT_LIGHT_POS `CREG_FIRST_LIGTH  //TODO: CAHNEG T 
`define CURRENT_LIGHT_POS `CREG_FIRST_LIGTH  //TODO: CAHNEG T 
`define CURRENT_LIGHT_DIFFUSE 16'h6
`define CURRENT_LIGHT_DIFFUSE 16'h6
 
 
//-----------------------------------------------------------------
//-----------------------------------------------------------------
`define TAG_PIXELSHADER 16'd278
`define TAG_PIXELSHADER 16'd310
`define TAG_USERCONSTANTS 16'd276
`define TAG_USERCONSTANTS 16'd308
`define TAG_PSU_UCODE_ADRESS2 16'd248
`define TAG_PSU_UCODE_ADRESS2 16'd280
`define TAG_PSU_UCODE_ADRESS 16'd232
`define TAG_PSU_UCODE_ADRESS 16'd264
`define LABEL_TCC_EXIT 16'd231
`define LABEL_TCC_EXIT 16'd263
`define TAG_TCC_UCODE_ADDRESS 16'd190
`define TAG_TCC_UCODE_ADDRESS 16'd222
`define LABEL_BIU4 16'd189
`define LABEL_BIU4 16'd221
`define LABEL_BIU3 16'd179
`define LABEL_BIU3 16'd211
`define LABEL_BIU2 16'd176
`define LABEL_BIU2 16'd207
`define LABEL_BIU1 16'd174
`define LABEL_BIU1 16'd204
`define TAG_BIU_UCODE_ADDRESS 16'd157
`define TAG_BIU_UCODE_ADDRESS 16'd186
`define LABEL_HIT 16'd155
`define LABEL_HIT 16'd184
`define LABEL15 16'd153
`define LABEL15 16'd182
`define LABEL14 16'd151
`define LABEL14 16'd180
`define LABEL13 16'd149
`define LABEL13 16'd178
`define LABEL_TEST_XY_PLANE 16'd144
`define LABEL_TEST_XY_PLANE 16'd173
`define LABEL12 16'd142
`define LABEL12 16'd171
`define LABEL11 16'd140
`define LABEL11 16'd169
`define LABEL10 16'd138
`define LABEL10 16'd167
`define LABEL_TEST_XZ_PLANE 16'd132
`define LABEL_TEST_XZ_PLANE 16'd161
`define LABEL9 16'd130
`define LABEL9 16'd159
`define LABEL8 16'd128
`define LABEL8 16'd157
`define LABEL7 16'd126
`define LABEL7 16'd155
`define LABEL_TEST_YZ_PLANE 16'd120
`define LABEL_TEST_YZ_PLANE 16'd149
`define LABEL_RAY_INSIDE_BOX 16'd117
`define LABEL_RAY_INSIDE_BOX 16'd146
`define LABEL_ELSEZ 16'd116
`define LABEL_ELSEZ 16'd145
`define LABEL6 16'd113
`define LABEL6 16'd142
`define LABEL_ELESE_IFZ 16'd109
`define LABEL_ELESE_IFZ 16'd138
`define LABEL5 16'd106
`define LABEL5 16'd135
`define LABEL_TEST_RAY_Z_ORIGEN 16'd102
`define LABEL_TEST_RAY_Z_ORIGEN 16'd131
`define LABEL_ELSEY 16'd101
`define LABEL_ELSEY 16'd130
`define LABEL4 16'd98
`define LABEL4 16'd127
`define LABEL_ELESE_IFY 16'd94
`define LABEL_ELESE_IFY 16'd123
`define LABEL3 16'd91
`define LABEL3 16'd120
`define LABEL_TEST_RAY_Y_ORIGEN 16'd87
`define LABEL_TEST_RAY_Y_ORIGEN 16'd116
`define LABEL_ELSEX 16'd86
`define LABEL_ELSEX 16'd115
`define LABEL2 16'd83
`define LABEL2 16'd112
`define LABEL_ELSE_IFX 16'd79
`define LABEL_ELSE_IFX 16'd108
`define LABEL1 16'd76
`define LABEL1 16'd105
`define LABEL_TEST_RAY_X_ORIGEN 16'd72
`define LABEL_TEST_RAY_X_ORIGEN 16'd101
`define TAG_AABBIU_UCODE_ADDRESS 16'd69
`define TAG_AABBIU_UCODE_ADDRESS 16'd98
`define LABEL_ALLDONE 16'd67
`define LABEL_ALLDONE 16'd96
`define LABEL_NPG_NEXT_ROW 16'd63
`define LABEL_NPG_NEXT_ROW 16'd91
`define TAG_NPG_UCODE_ADDRESS 16'd55
`define TAG_NPG_UCODE_ADDRESS 16'd82
`define TAG_RGU_UCODE_ADDRESS 16'd47
`define TAG_RGU_UCODE_ADDRESS 16'd74
`define TAG_CPPU_UCODE_ADDRESS 16'd44
`define TAG_CPPU_UCODE_ADDRESS 16'd70
`define LABEL_IS_NO_HIT 16'd43
`define LABEL_MAIN_RENDER_DONE 16'd69
`define LABEL_IS_HIT 16'd39
`define LABEL_MAIN_IS_NO_HIT 16'd62
 
`define LABEL_MAIN_IS_HIT 16'd51
 
`define LABEL_MAIN_CHECK_HIT 16'd50
 
`define LABEL_DEC_PRIM_COUNT 16'd47
 
`define LABEL_MAIN_TEST_INTERSECTION 16'd42
`define TAG_ADRR_MAIN 16'd37
`define TAG_ADRR_MAIN 16'd37
 
 
 
 
//-------------------------------------------------------------------------
//-------------------------------------------------------------------------
//Default values for some registers after reset
//Default values for some registers after reset
Line 171... Line 175...
31: I = { `ZERO ,`R2 ,`VOID ,`VOID };
31: I = { `ZERO ,`R2 ,`VOID ,`VOID };
32: I = { `ZERO ,`R3 ,`VOID ,`VOID };
32: I = { `ZERO ,`R3 ,`VOID ,`VOID };
33: I = { `ZERO ,`R4 ,`VOID ,`VOID };
33: I = { `ZERO ,`R4 ,`VOID ,`VOID };
34: I = { `ZERO ,`R5 ,`VOID ,`VOID };
34: I = { `ZERO ,`R5 ,`VOID ,`VOID };
35: I = { `ZERO ,`R99 ,`VOID ,`VOID };
35: I = { `ZERO ,`R99 ,`VOID ,`VOID };
 
 
36: I = { `RETURN ,`RT_TRUE   };
36: I = { `RETURN ,`RT_TRUE   };
 
 
//----------------------------------------------
//---------------------------------------------------------------------
 
//This is the main sub-routine
//TAG_ADRR_MAIN:
//TAG_ADRR_MAIN:
 
37: I = { `NOP ,`RT_FALSE   }; //{ `ZERO ,`CREG_HIT ,`VOID ,`VOID }; 
 
        //Generate the ray, but this is wrong, it has to generate only once for all the triangles..
 
38: I = { `JNEX ,`LABEL_MAIN_TEST_INTERSECTION ,`CREG_PRIMITIVE_COUNT ,`CREG_MAX_PRIMITIVES };
 
39: I = { `CALL ,`ENTRYPOINT_ADRR_RGU ,`VOID ,`VOID };
 
40: I = { `ZERO ,`CREG_HIT ,`VOID ,`VOID };//{ `NOP ,`RT_FALSE   }; 
 
41: I = { `RESCALE ,`CREG_PRIMITIVE_COUNT ,`CREG_MAX_PRIMITIVES ,`VOID };
 
 
37: I = { `CALL ,`ENTRYPOINT_ADRR_BIU ,`VOID ,`VOID };
//LABEL_MAIN_TEST_INTERSECTION:
38: I = { `JEQX ,`LABEL_IS_NO_HIT ,`R99 ,`CREG_ZERO };
        //Check ofr triangle intersection
 
 
//LABEL_IS_HIT:
 
39: I = { `CALL ,`ENTRYPOINT_ADRR_TCC ,`VOID ,`VOID };
 
40: I = { `NOP ,`RT_FALSE   };
 
41: I = { `RETURN ,`RT_TRUE   };
 
42: I = { `NOP ,`RT_FALSE   };
42: I = { `NOP ,`RT_FALSE   };
 
43: I = { `CALL ,`ENTRYPOINT_ADRR_BIU ,`VOID ,`VOID };
 
44: I = { `NOP ,`RT_FALSE   };
 
 
 
45: I = { `JEQX ,`LABEL_DEC_PRIM_COUNT ,`R99 ,`CREG_ZERO };
 
46: I = { `COPY ,`CREG_HIT ,`R99 ,`VOID };
 
//LABEL_DEC_PRIM_COUNT:
 
47: I = { `DEC ,`CREG_PRIMITIVE_COUNT ,`CREG_PRIMITIVE_COUNT ,`VOID };
 
48: I = { `JEQX ,`LABEL_MAIN_CHECK_HIT ,`CREG_PRIMITIVE_COUNT ,`CREG_ZERO };
 
49: I = { `RETURN ,`RT_FALSE   };
 
 
 
//LABEL_MAIN_CHECK_HIT:
 
50: I = { `JEQX ,`LABEL_MAIN_IS_NO_HIT ,`CREG_HIT ,`CREG_ZERO };
 
 
 
 
 
 
 
//LABEL_MAIN_IS_HIT:
 
51: I = { `NOP ,`RT_FALSE   };
 
52: I = { `CALL ,`ENTRYPOINT_ADRR_TCC ,`VOID ,`VOID };
 
53: I = { `NOP ,`RT_FALSE   };
 
54: I = { `CALL ,`ENTRYPOINT_ADRR_PSU2 ,`VOID ,`VOID };
 
55: I = { `NOP ,`RT_FALSE   };
 
56: I = { `CALL ,`ENTRYPOINT_ADRR_PIXELSHADER ,`VOID ,`VOID };
 
57: I = { `NOP ,`RT_FALSE   };
 
58: I = { `CALL ,`ENTRYPOINT_ADRR_NPG ,`VOID ,`VOID };
 
59: I = { `NOP ,`RT_FALSE   };
 
60: I = { `JEQX ,`LABEL_MAIN_RENDER_DONE ,`R99 ,`CREG_ZERO };
 
61: I = { `RETURN ,`RT_TRUE   };
 
 
 
 
 
 
//LABEL_IS_NO_HIT:
//LABEL_MAIN_IS_NO_HIT:
43: I = { `RETURN ,`RT_FALSE   };
62: I = { `NOP ,`RT_FALSE   };
 
63: I = { `CALL ,`ENTRYPOINT_ADRR_PIXELSHADER ,`VOID ,`VOID };
 
64: I = { `NOP ,`RT_FALSE   };
 
65: I = { `CALL ,`ENTRYPOINT_ADRR_NPG ,`VOID ,`VOID };
 
66: I = { `NOP ,`RT_FALSE   };
 
67: I = { `JNEX ,`LABEL_MAIN_RENDER_DONE ,`R99 ,`CREG_ZERO };
 
68: I = { `RETURN ,`RT_TRUE   };
 
//LABEL_MAIN_RENDER_DONE:
 
69: I = { `RETURN ,`RT_TRUE   };
 
 
 
 
//----------------------------------------------------------------------          
//----------------------------------------------------------------------          
//Micro code for CPPU
//Micro code for CPPU
//TAG_CPPU_UCODE_ADDRESS:
//TAG_CPPU_UCODE_ADDRESS:
 
 
 
 
44: I = { `SUB ,`R1 ,`CREG_PROJECTION_WINDOW_MAX ,`CREG_PROJECTION_WINDOW_MIN };
70: I = { `SUB ,`R1 ,`CREG_PROJECTION_WINDOW_MAX ,`CREG_PROJECTION_WINDOW_MIN };
45: I = { `DIV ,`CREG_PROJECTION_WINDOW_SCALE ,`R1 ,`CREG_RESOLUTION };
71: I = { `DIV ,`CREG_PROJECTION_WINDOW_SCALE ,`R1 ,`CREG_RESOLUTION };
46: I = { `RETURN ,`RT_FALSE   };
72: I = { `COPY ,`CREG_PRIMITIVE_COUNT ,`CREG_MAX_PRIMITIVES ,`VOID };
 
73: I = { `RETURN ,`RT_FALSE   };
 
 
//----------------------------------------------------------------------          
//----------------------------------------------------------------------          
//Micro code for RGU
//Micro code for RGU
//TAG_RGU_UCODE_ADDRESS:
//TAG_RGU_UCODE_ADDRESS:
 
 
 
 
47: I = { `MUL ,`R1 ,`CREG_PIXEL_2D_POSITION ,`CREG_PROJECTION_WINDOW_SCALE };
74: I = { `MUL ,`R1 ,`CREG_PIXEL_2D_POSITION ,`CREG_PROJECTION_WINDOW_SCALE };
48: I = { `ADD ,`R1 ,`R1 ,`CREG_PROJECTION_WINDOW_MIN };
75: I = { `ADD ,`R1 ,`R1 ,`CREG_PROJECTION_WINDOW_MIN };
49: I = { `SUB ,`CREG_UNORMALIZED_DIRECTION ,`R1 ,`CREG_CAMERA_POSITION };
76: I = { `SUB ,`CREG_UNORMALIZED_DIRECTION ,`R1 ,`CREG_CAMERA_POSITION };
50: I = { `MAG ,`R2 ,`CREG_UNORMALIZED_DIRECTION ,`VOID };
77: I = { `MAG ,`R2 ,`CREG_UNORMALIZED_DIRECTION ,`VOID };
51: I = { `DIV ,`CREG_RAY_DIRECTION ,`CREG_UNORMALIZED_DIRECTION ,`R2 };
78: I = { `DIV ,`CREG_RAY_DIRECTION ,`CREG_UNORMALIZED_DIRECTION ,`R2 };
52: I = { `DEC ,`CREG_LAST_COL ,`CREG_PIXEL_2D_FINAL_POSITION ,`VOID };
79: I = { `DEC ,`CREG_LAST_COL ,`CREG_PIXEL_2D_FINAL_POSITION ,`VOID };
53: I = { `SETX ,`CREG_LAST_t ,32'h1F40000  };
80: I = { `SETX ,`CREG_LAST_t ,32'h1F40000  };
 
 
54: I = { `RETURN ,`RT_FALSE   };
81: I = { `RET ,`R99 ,`TRUE  };
//----------------------------------------------------------------------
//----------------------------------------------------------------------
//Next Pixel generation Code (NPG)
//Next Pixel generation Code (NPG)
//TAG_NPG_UCODE_ADDRESS:
//TAG_NPG_UCODE_ADDRESS:
 
 
55: I = { `ZERO ,`CREG_TEXTURE_COLOR ,`VOID ,`VOID };
82: I = { `COPY ,`CREG_PRIMITIVE_COUNT ,`CREG_MAX_PRIMITIVES ,`VOID };
56: I = { `SETX ,`CREG_TEXTURE_COLOR ,32'h60000  };
 
57: I = { `ADD ,`CREG_CURRENT_OUTPUT_PIXEL ,`CREG_CURRENT_OUTPUT_PIXEL ,`CREG_3 };
83: I = { `ZERO ,`CREG_TEXTURE_COLOR ,`VOID ,`VOID };
 
84: I = { `SETX ,`CREG_TEXTURE_COLOR ,32'h60000  };
58: I = { `ADD ,`CREG_PIXEL_PITCH ,`CREG_PIXEL_PITCH ,`CREG_3 };
85: I = { `ADD ,`CREG_CURRENT_OUTPUT_PIXEL ,`CREG_CURRENT_OUTPUT_PIXEL ,`CREG_3 };
59: I = { `COPY ,`OREG_ADDR_O ,`CREG_PIXEL_PITCH ,`VOID };
 
60: I = { `JGEX ,`LABEL_NPG_NEXT_ROW ,`CREG_PIXEL_2D_POSITION ,`CREG_LAST_COL };
86: I = { `ADD ,`CREG_PIXEL_PITCH ,`CREG_PIXEL_PITCH ,`CREG_3 };
61: I = { `INCX ,`CREG_PIXEL_2D_POSITION ,`CREG_PIXEL_2D_POSITION ,`VOID };
87: I = { `COPY ,`OREG_ADDR_O ,`CREG_PIXEL_PITCH ,`VOID };
62: I = { `RETURN ,`RT_TRUE   };
88: I = { `JGEX ,`LABEL_NPG_NEXT_ROW ,`CREG_PIXEL_2D_POSITION ,`CREG_LAST_COL };
 
89: I = { `INCX ,`CREG_PIXEL_2D_POSITION ,`CREG_PIXEL_2D_POSITION ,`VOID };
 
90: I = { `RET ,`R99 ,`FALSE  };
 
 
//LABEL_NPG_NEXT_ROW:
//LABEL_NPG_NEXT_ROW:
63: I = { `SETX ,`CREG_PIXEL_2D_POSITION ,32'h0  };
91: I = { `SETX ,`CREG_PIXEL_2D_POSITION ,32'h0  };
64: I = { `INCY ,`CREG_PIXEL_2D_POSITION ,`CREG_PIXEL_2D_POSITION ,`VOID };
92: I = { `INCY ,`CREG_PIXEL_2D_POSITION ,`CREG_PIXEL_2D_POSITION ,`VOID };
65: I = { `JGEY ,`LABEL_ALLDONE ,`CREG_PIXEL_2D_POSITION ,`CREG_PIXEL_2D_FINAL_POSITION };
93: I = { `JGEY ,`LABEL_ALLDONE ,`CREG_PIXEL_2D_POSITION ,`CREG_PIXEL_2D_FINAL_POSITION };
66: I = { `RETURN ,`RT_TRUE   };
94: I = { `NOP ,`RT_FALSE   };
 
95: I = { `RET ,`R99 ,`FALSE  };
 
 
//LABEL_ALLDONE:
//LABEL_ALLDONE:
67: I = { `NOP ,`RT_FALSE   };
96: I = { `NOP ,`RT_FALSE   };
68: I = { `RETURN ,`RT_FALSE   };
97: I = { `RET ,`R99 ,`TRUE  };
 
 
//----------------------------------------------------------------------
//----------------------------------------------------------------------
//Micro code for AABBIU
//Micro code for AABBIU
//TAG_AABBIU_UCODE_ADDRESS:
//TAG_AABBIU_UCODE_ADDRESS:
 
 
69: I = { `ZERO ,`R3 ,`VOID ,`VOID };
98: I = { `ZERO ,`R3 ,`VOID ,`VOID };
70: I = { `SETX ,`CREG_LAST_t ,32'h1F40000  };
99: I = { `SETX ,`CREG_LAST_t ,32'h1F40000  };
71: I = { `RETURN ,`RT_TRUE   };
100: I = { `RETURN ,`RT_TRUE   };
 
 
//LABEL_TEST_RAY_X_ORIGEN:
//LABEL_TEST_RAY_X_ORIGEN:
72: I = { `JGEX ,`LABEL_ELSE_IFX ,`CREG_CAMERA_POSITION ,`CREG_AABBMIN };
101: I = { `JGEX ,`LABEL_ELSE_IFX ,`CREG_CAMERA_POSITION ,`CREG_AABBMIN };
73: I = { `SUB ,`R1 ,`CREG_AABBMIN ,`CREG_CAMERA_POSITION };
102: I = { `SUB ,`R1 ,`CREG_AABBMIN ,`CREG_CAMERA_POSITION };
74: I = { `JLEX ,`LABEL1 ,`R1 ,`CREG_UNORMALIZED_DIRECTION };
103: I = { `JLEX ,`LABEL1 ,`R1 ,`CREG_UNORMALIZED_DIRECTION };
75: I = { `RETURN ,`RT_FALSE   };
104: I = { `RETURN ,`RT_FALSE   };
 
 
//LABEL1:
//LABEL1:
76: I = { `SETX ,`RAY_INSIDE_BOX ,32'd0  };
105: I = { `SETX ,`RAY_INSIDE_BOX ,32'd0  };
77: I = { `DIV ,`R6 ,`R1 ,`CREG_UNORMALIZED_DIRECTION };
106: I = { `DIV ,`R6 ,`R1 ,`CREG_UNORMALIZED_DIRECTION };
78: I = { `JMP ,`LABEL_TEST_RAY_Y_ORIGEN ,`VOID ,`VOID };
107: I = { `JMP ,`LABEL_TEST_RAY_Y_ORIGEN ,`VOID ,`VOID };
 
 
//LABEL_ELSE_IFX:
//LABEL_ELSE_IFX:
79: I = { `JLEX ,`LABEL_ELSEX ,`CREG_CAMERA_POSITION ,`CREG_AABBMAX };
108: I = { `JLEX ,`LABEL_ELSEX ,`CREG_CAMERA_POSITION ,`CREG_AABBMAX };
80: I = { `SUB ,`R1 ,`CREG_AABBMAX ,`CREG_CAMERA_POSITION };
109: I = { `SUB ,`R1 ,`CREG_AABBMAX ,`CREG_CAMERA_POSITION };
81: I = { `JGEX ,`LABEL2 ,`R1 ,`CREG_UNORMALIZED_DIRECTION };
110: I = { `JGEX ,`LABEL2 ,`R1 ,`CREG_UNORMALIZED_DIRECTION };
82: I = { `RETURN ,`RT_FALSE   };
111: I = { `RETURN ,`RT_FALSE   };
 
 
//LABEL2:
//LABEL2:
83: I = { `SETX ,`RAY_INSIDE_BOX ,32'd0  };
112: I = { `SETX ,`RAY_INSIDE_BOX ,32'd0  };
84: I = { `DIV ,`R6 ,`R1 ,`CREG_UNORMALIZED_DIRECTION };
113: I = { `DIV ,`R6 ,`R1 ,`CREG_UNORMALIZED_DIRECTION };
85: I = { `JMP ,`LABEL_TEST_RAY_Y_ORIGEN ,`VOID ,`VOID };
114: I = { `JMP ,`LABEL_TEST_RAY_Y_ORIGEN ,`VOID ,`VOID };
//LABEL_ELSEX:
//LABEL_ELSEX:
86: I = { `SETX ,`R5 ,32'b1  };
115: I = { `SETX ,`R5 ,32'b1  };
 
 
//LABEL_TEST_RAY_Y_ORIGEN:
//LABEL_TEST_RAY_Y_ORIGEN:
87: I = { `JGEY ,`LABEL_ELESE_IFY ,`CREG_CAMERA_POSITION ,`CREG_AABBMIN };
116: I = { `JGEY ,`LABEL_ELESE_IFY ,`CREG_CAMERA_POSITION ,`CREG_AABBMIN };
88: I = { `SUB ,`R1 ,`CREG_AABBMIN ,`CREG_CAMERA_POSITION };
117: I = { `SUB ,`R1 ,`CREG_AABBMIN ,`CREG_CAMERA_POSITION };
89: I = { `JLEY ,`LABEL3 ,`R1 ,`CREG_UNORMALIZED_DIRECTION };
118: I = { `JLEY ,`LABEL3 ,`R1 ,`CREG_UNORMALIZED_DIRECTION };
90: I = { `RETURN ,`RT_FALSE   };
119: I = { `RETURN ,`RT_FALSE   };
 
 
//LABEL3:
//LABEL3:
91: I = { `SETX ,`RAY_INSIDE_BOX ,32'd0  };
120: I = { `SETX ,`RAY_INSIDE_BOX ,32'd0  };
92: I = { `DIV ,`R6 ,`R1 ,`CREG_UNORMALIZED_DIRECTION };
121: I = { `DIV ,`R6 ,`R1 ,`CREG_UNORMALIZED_DIRECTION };
93: I = { `JMP ,`LABEL_TEST_RAY_Z_ORIGEN ,`VOID ,`VOID };
122: I = { `JMP ,`LABEL_TEST_RAY_Z_ORIGEN ,`VOID ,`VOID };
 
 
//LABEL_ELESE_IFY:
//LABEL_ELESE_IFY:
94: I = { `JLEY ,`LABEL_ELSEY ,`CREG_CAMERA_POSITION ,`CREG_AABBMAX };
123: I = { `JLEY ,`LABEL_ELSEY ,`CREG_CAMERA_POSITION ,`CREG_AABBMAX };
95: I = { `SUB ,`R1 ,`CREG_AABBMAX ,`CREG_CAMERA_POSITION };
124: I = { `SUB ,`R1 ,`CREG_AABBMAX ,`CREG_CAMERA_POSITION };
96: I = { `JGEY ,`LABEL4 ,`R1 ,`CREG_UNORMALIZED_DIRECTION };
125: I = { `JGEY ,`LABEL4 ,`R1 ,`CREG_UNORMALIZED_DIRECTION };
97: I = { `RETURN ,`RT_FALSE   };
126: I = { `RETURN ,`RT_FALSE   };
 
 
//LABEL4:
//LABEL4:
98: I = { `SETX ,`RAY_INSIDE_BOX ,32'd0  };
127: I = { `SETX ,`RAY_INSIDE_BOX ,32'd0  };
99: I = { `DIV ,`R6 ,`R1 ,`CREG_UNORMALIZED_DIRECTION };
128: I = { `DIV ,`R6 ,`R1 ,`CREG_UNORMALIZED_DIRECTION };
100: I = { `JMP ,`LABEL_TEST_RAY_Z_ORIGEN ,`VOID ,`VOID };
129: I = { `JMP ,`LABEL_TEST_RAY_Z_ORIGEN ,`VOID ,`VOID };
 
 
//LABEL_ELSEY:
//LABEL_ELSEY:
101: I = { `SETY ,`R5 ,32'b1  };
130: I = { `SETY ,`R5 ,32'b1  };
 
 
//LABEL_TEST_RAY_Z_ORIGEN:
//LABEL_TEST_RAY_Z_ORIGEN:
102: I = { `JGEZ ,`LABEL_ELESE_IFZ ,`CREG_CAMERA_POSITION ,`CREG_AABBMIN };
131: I = { `JGEZ ,`LABEL_ELESE_IFZ ,`CREG_CAMERA_POSITION ,`CREG_AABBMIN };
103: I = { `SUB ,`R1 ,`CREG_AABBMIN ,`CREG_CAMERA_POSITION };
132: I = { `SUB ,`R1 ,`CREG_AABBMIN ,`CREG_CAMERA_POSITION };
104: I = { `JLEZ ,`LABEL5 ,`R1 ,`CREG_UNORMALIZED_DIRECTION };
133: I = { `JLEZ ,`LABEL5 ,`R1 ,`CREG_UNORMALIZED_DIRECTION };
105: I = { `RETURN ,`RT_FALSE   };
134: I = { `RETURN ,`RT_FALSE   };
 
 
//LABEL5:
//LABEL5:
106: I = { `SETX ,`RAY_INSIDE_BOX ,32'd0  };
135: I = { `SETX ,`RAY_INSIDE_BOX ,32'd0  };
107: I = { `DIV ,`R6 ,`R1 ,`CREG_UNORMALIZED_DIRECTION };
136: I = { `DIV ,`R6 ,`R1 ,`CREG_UNORMALIZED_DIRECTION };
108: I = { `JMP ,`LABEL_RAY_INSIDE_BOX ,`VOID ,`VOID };
137: I = { `JMP ,`LABEL_RAY_INSIDE_BOX ,`VOID ,`VOID };
 
 
//LABEL_ELESE_IFZ:
//LABEL_ELESE_IFZ:
109: I = { `JLEZ ,`LABEL_ELSEZ ,`CREG_CAMERA_POSITION ,`CREG_AABBMAX };
138: I = { `JLEZ ,`LABEL_ELSEZ ,`CREG_CAMERA_POSITION ,`CREG_AABBMAX };
110: I = { `SUB ,`R1 ,`CREG_AABBMAX ,`CREG_CAMERA_POSITION };
139: I = { `SUB ,`R1 ,`CREG_AABBMAX ,`CREG_CAMERA_POSITION };
111: I = { `JGEZ ,`LABEL6 ,`R1 ,`CREG_UNORMALIZED_DIRECTION };
140: I = { `JGEZ ,`LABEL6 ,`R1 ,`CREG_UNORMALIZED_DIRECTION };
112: I = { `RETURN ,`RT_FALSE   };
141: I = { `RETURN ,`RT_FALSE   };
 
 
//LABEL6:
//LABEL6:
113: I = { `SETX ,`RAY_INSIDE_BOX ,32'd0  };
142: I = { `SETX ,`RAY_INSIDE_BOX ,32'd0  };
114: I = { `DIV ,`R6 ,`R1 ,`CREG_UNORMALIZED_DIRECTION };
143: I = { `DIV ,`R6 ,`R1 ,`CREG_UNORMALIZED_DIRECTION };
115: I = { `JMP ,`LABEL_RAY_INSIDE_BOX ,`VOID ,`VOID };
144: I = { `JMP ,`LABEL_RAY_INSIDE_BOX ,`VOID ,`VOID };
 
 
//LABEL_ELSEZ:
//LABEL_ELSEZ:
116: I = { `SETZ ,`R5 ,32'b1  };
145: I = { `SETZ ,`R5 ,32'b1  };
 
 
//LABEL_RAY_INSIDE_BOX:
//LABEL_RAY_INSIDE_BOX:
117: I = { `ZERO ,`R1 ,`VOID ,`VOID };
146: I = { `ZERO ,`R1 ,`VOID ,`VOID };
118: I = { `JEQX ,`LABEL_TEST_YZ_PLANE ,`R1 ,`RAY_INSIDE_BOX };
147: I = { `JEQX ,`LABEL_TEST_YZ_PLANE ,`R1 ,`RAY_INSIDE_BOX };
//BUG need a NOP here else pipeline gets confused
//BUG need a NOP here else pipeline gets confused
119: I = { `RETURN ,`RT_TRUE   };
148: I = { `RETURN ,`RT_TRUE   };
 
 
//LABEL_TEST_YZ_PLANE:
//LABEL_TEST_YZ_PLANE:
120: I = { `JNEX ,`LABEL_TEST_XZ_PLANE ,`R5 ,`R1 };
149: I = { `JNEX ,`LABEL_TEST_XZ_PLANE ,`R5 ,`R1 };
121: I = { `SWIZZLE3D ,`R6 ,`SWIZZLE_XXX  };
150: I = { `SWIZZLE3D ,`R6 ,`SWIZZLE_XXX  };
122: I = { `MUL ,`R2 ,`CREG_UNORMALIZED_DIRECTION ,`R6 };
151: I = { `MUL ,`R2 ,`CREG_UNORMALIZED_DIRECTION ,`R6 };
123: I = { `ADD ,`R2 ,`R2 ,`CREG_CAMERA_POSITION };
152: I = { `ADD ,`R2 ,`R2 ,`CREG_CAMERA_POSITION };
124: I = { `JGEY ,`LABEL7 ,`R2 ,`CREG_AABBMIN };
153: I = { `JGEY ,`LABEL7 ,`R2 ,`CREG_AABBMIN };
125: I = { `RETURN ,`RT_FALSE   };
154: I = { `RETURN ,`RT_FALSE   };
 
 
//LABEL7:
//LABEL7:
126: I = { `JLEY ,`LABEL8 ,`R2 ,`CREG_AABBMAX };
155: I = { `JLEY ,`LABEL8 ,`R2 ,`CREG_AABBMAX };
127: I = { `RETURN ,`RT_FALSE   };
156: I = { `RETURN ,`RT_FALSE   };
 
 
//LABEL8:
//LABEL8:
128: I = { `JGEZ ,`LABEL9 ,`R2 ,`CREG_AABBMIN };
157: I = { `JGEZ ,`LABEL9 ,`R2 ,`CREG_AABBMIN };
129: I = { `RETURN ,`RT_FALSE   };
158: I = { `RETURN ,`RT_FALSE   };
 
 
//LABEL9:
//LABEL9:
130: I = { `JLEZ ,`LABEL_TEST_XZ_PLANE ,`R2 ,`CREG_AABBMAX };
159: I = { `JLEZ ,`LABEL_TEST_XZ_PLANE ,`R2 ,`CREG_AABBMAX };
131: I = { `RETURN ,`RT_FALSE   };
160: I = { `RETURN ,`RT_FALSE   };
 
 
//LABEL_TEST_XZ_PLANE:
//LABEL_TEST_XZ_PLANE:
132: I = { `JNEY ,`LABEL_TEST_XY_PLANE ,`R5 ,`R1 };
161: I = { `JNEY ,`LABEL_TEST_XY_PLANE ,`R5 ,`R1 };
133: I = { `SWIZZLE3D ,`R6 ,`SWIZZLE_YYY  };
162: I = { `SWIZZLE3D ,`R6 ,`SWIZZLE_YYY  };
134: I = { `MUL ,`R2 ,`CREG_UNORMALIZED_DIRECTION ,`R6 };
163: I = { `MUL ,`R2 ,`CREG_UNORMALIZED_DIRECTION ,`R6 };
135: I = { `ADD ,`R2 ,`R2 ,`CREG_CAMERA_POSITION };
164: I = { `ADD ,`R2 ,`R2 ,`CREG_CAMERA_POSITION };
136: I = { `JGEX ,`LABEL10 ,`R2 ,`CREG_AABBMIN };
165: I = { `JGEX ,`LABEL10 ,`R2 ,`CREG_AABBMIN };
137: I = { `RETURN ,`RT_FALSE   };
166: I = { `RETURN ,`RT_FALSE   };
 
 
//LABEL10:
//LABEL10:
138: I = { `JLEX ,`LABEL11 ,`R2 ,`CREG_AABBMAX };
167: I = { `JLEX ,`LABEL11 ,`R2 ,`CREG_AABBMAX };
139: I = { `RETURN ,`RT_FALSE   };
168: I = { `RETURN ,`RT_FALSE   };
 
 
//LABEL11:
//LABEL11:
140: I = { `JGEZ ,`LABEL12 ,`R2 ,`CREG_AABBMIN };
169: I = { `JGEZ ,`LABEL12 ,`R2 ,`CREG_AABBMIN };
141: I = { `RETURN ,`RT_FALSE   };
170: I = { `RETURN ,`RT_FALSE   };
 
 
//LABEL12:
//LABEL12:
142: I = { `JLEZ ,`LABEL_TEST_XY_PLANE ,`R2 ,`CREG_AABBMAX };
171: I = { `JLEZ ,`LABEL_TEST_XY_PLANE ,`R2 ,`CREG_AABBMAX };
143: I = { `RETURN ,`RT_FALSE   };
172: I = { `RETURN ,`RT_FALSE   };
 
 
//LABEL_TEST_XY_PLANE:
//LABEL_TEST_XY_PLANE:
144: I = { `SWIZZLE3D ,`R6 ,`SWIZZLE_ZZZ  };
173: I = { `SWIZZLE3D ,`R6 ,`SWIZZLE_ZZZ  };
145: I = { `MUL ,`R2 ,`CREG_UNORMALIZED_DIRECTION ,`R6 };
174: I = { `MUL ,`R2 ,`CREG_UNORMALIZED_DIRECTION ,`R6 };
146: I = { `ADD ,`R2 ,`R2 ,`CREG_CAMERA_POSITION };
175: I = { `ADD ,`R2 ,`R2 ,`CREG_CAMERA_POSITION };
147: I = { `JGEX ,`LABEL13 ,`R2 ,`CREG_AABBMIN };
176: I = { `JGEX ,`LABEL13 ,`R2 ,`CREG_AABBMIN };
148: I = { `RETURN ,`RT_FALSE   };
177: I = { `RETURN ,`RT_FALSE   };
 
 
//LABEL13:
//LABEL13:
149: I = { `JLEX ,`LABEL14 ,`R2 ,`CREG_AABBMAX };
178: I = { `JLEX ,`LABEL14 ,`R2 ,`CREG_AABBMAX };
150: I = { `RETURN ,`RT_FALSE   };
179: I = { `RETURN ,`RT_FALSE   };
 
 
//LABEL14:
//LABEL14:
151: I = { `JGEY ,`LABEL15 ,`R2 ,`CREG_AABBMIN };
180: I = { `JGEY ,`LABEL15 ,`R2 ,`CREG_AABBMIN };
152: I = { `RETURN ,`RT_FALSE   };
181: I = { `RETURN ,`RT_FALSE   };
 
 
//LABEL15:
//LABEL15:
153: I = { `JLEY ,`LABEL_HIT ,`R2 ,`CREG_AABBMAX };
182: I = { `JLEY ,`LABEL_HIT ,`R2 ,`CREG_AABBMAX };
154: I = { `RETURN ,`RT_FALSE   };
183: I = { `RETURN ,`RT_FALSE   };
 
 
//LABEL_HIT:
//LABEL_HIT:
155: I = { `SETX ,`CREG_LAST_t ,32'h1F40000  };
184: I = { `SETX ,`CREG_LAST_t ,32'h1F40000  };
156: I = { `RETURN ,`RT_TRUE   };
185: I = { `RETURN ,`RT_TRUE   };
 
 
 //------------------------------------------------------------------------
 //------------------------------------------------------------------------
 //BIU Micro code
 //BIU Micro code
//TAG_BIU_UCODE_ADDRESS:
//TAG_BIU_UCODE_ADDRESS:
 
 
157: I = { `ZERO ,`OREG_PIXEL_COLOR ,`VOID ,`VOID };
186: I = { `ZERO ,`OREG_PIXEL_COLOR ,`VOID ,`VOID };
158: I = { `SETX ,`R3 ,`ONE  };
187: I = { `SETX ,`R3 ,`ONE  };
159: I = { `SETX ,`R1 ,32'h00000  };
188: I = { `SETX ,`R1 ,32'h00000  };
160: I = { `SUB ,`CREG_E1 ,`CREG_V1 ,`CREG_V0 };
189: I = { `SUB ,`CREG_E1 ,`CREG_V1 ,`CREG_V0 };
161: I = { `SUB ,`CREG_E2 ,`CREG_V2 ,`CREG_V0 };
190: I = { `SUB ,`CREG_E2 ,`CREG_V2 ,`CREG_V0 };
162: I = { `SUB ,`CREG_T ,`CREG_CAMERA_POSITION ,`CREG_V0 };
191: I = { `SUB ,`CREG_T ,`CREG_CAMERA_POSITION ,`CREG_V0 };
163: I = { `CROSS ,`CREG_P ,`CREG_RAY_DIRECTION ,`CREG_E2 };
192: I = { `CROSS ,`CREG_P ,`CREG_RAY_DIRECTION ,`CREG_E2 };
164: I = { `CROSS ,`CREG_Q ,`CREG_T ,`CREG_E1 };
193: I = { `CROSS ,`CREG_Q ,`CREG_T ,`CREG_E1 };
165: I = { `DOT ,`CREG_H1 ,`CREG_Q ,`CREG_E2 };
194: I = { `DOT ,`CREG_H1 ,`CREG_Q ,`CREG_E2 };
166: I = { `DOT ,`CREG_H2 ,`CREG_P ,`CREG_T };
195: I = { `DOT ,`CREG_H2 ,`CREG_P ,`CREG_T };
167: I = { `DOT ,`CREG_H3 ,`CREG_Q ,`CREG_RAY_DIRECTION };
196: I = { `DOT ,`CREG_H3 ,`CREG_Q ,`CREG_RAY_DIRECTION };
168: I = { `DOT ,`CREG_DELTA ,`CREG_P ,`CREG_E1 };
197: I = { `DOT ,`CREG_DELTA ,`CREG_P ,`CREG_E1 };
169: I = { `DIV ,`CREG_t ,`CREG_H1 ,`CREG_DELTA };
198: I = { `DIV ,`CREG_t ,`CREG_H1 ,`CREG_DELTA };
170: I = { `DIV ,`CREG_u ,`CREG_H2 ,`CREG_DELTA };
199: I = { `DIV ,`CREG_u ,`CREG_H2 ,`CREG_DELTA };
171: I = { `DIV ,`CREG_v ,`CREG_H3 ,`CREG_DELTA };
200: I = { `DIV ,`CREG_v ,`CREG_H3 ,`CREG_DELTA };
172: I = { `JGEX ,`LABEL_BIU1 ,`CREG_u ,`R1 };
201: I = { `JGEX ,`LABEL_BIU1 ,`CREG_u ,`R1 };
173: I = { `RET ,`R99 ,`FALSE  };
202: I = { `NOP ,`RT_FALSE   };
 
203: I = { `RET ,`R99 ,`FALSE  };
 
 
//LABEL_BIU1:
//LABEL_BIU1:
174: I = { `JGEX ,`LABEL_BIU2 ,`CREG_v ,`R1 };
204: I = { `JGEX ,`LABEL_BIU2 ,`CREG_v ,`R1 };
175: I = { `RET ,`R99 ,`FALSE  };
205: I = { `NOP ,`RT_FALSE   };
 
206: I = { `RET ,`R99 ,`FALSE  };
 
 
//LABEL_BIU2:
//LABEL_BIU2:
176: I = { `ADD ,`R2 ,`CREG_u ,`CREG_v };
207: I = { `ADD ,`R2 ,`CREG_u ,`CREG_v };
177: I = { `JLEX ,`LABEL_BIU3 ,`R2 ,`R3 };
208: I = { `JLEX ,`LABEL_BIU3 ,`R2 ,`R3 };
178: I = { `RET ,`R99 ,`FALSE  };
209: I = { `NOP ,`RT_FALSE   };
 
210: I = { `RET ,`R99 ,`FALSE  };
 
 
//LABEL_BIU3:
//LABEL_BIU3:
179: I = { `JGEX ,`LABEL_BIU4 ,`CREG_t ,`CREG_LAST_t };
211: I = { `JGEX ,`LABEL_BIU4 ,`CREG_t ,`CREG_LAST_t };
180: I = { `COPY ,`CREG_LAST_t ,`CREG_t ,`VOID };
212: I = { `COPY ,`CREG_LAST_t ,`CREG_t ,`VOID };
181: I = { `COPY ,`CREG_LAST_u ,`CREG_u ,`VOID };
213: I = { `COPY ,`CREG_LAST_u ,`CREG_u ,`VOID };
182: I = { `COPY ,`CREG_LAST_v ,`CREG_v ,`VOID };
214: I = { `COPY ,`CREG_LAST_v ,`CREG_v ,`VOID };
183: I = { `COPY ,`CREG_E1_LAST ,`CREG_E1 ,`VOID };
215: I = { `COPY ,`CREG_E1_LAST ,`CREG_E1 ,`VOID };
184: I = { `COPY ,`CREG_E2_LAST ,`CREG_E2 ,`VOID };
216: I = { `COPY ,`CREG_E2_LAST ,`CREG_E2 ,`VOID };
185: I = { `COPY ,`CREG_UV0_LAST ,`CREG_UV0 ,`VOID };
217: I = { `COPY ,`CREG_UV0_LAST ,`CREG_UV0 ,`VOID };
186: I = { `COPY ,`CREG_UV1_LAST ,`CREG_UV1 ,`VOID };
218: I = { `COPY ,`CREG_UV1_LAST ,`CREG_UV1 ,`VOID };
187: I = { `COPY ,`CREG_UV2_LAST ,`CREG_UV2 ,`VOID };
219: I = { `COPY ,`CREG_UV2_LAST ,`CREG_UV2 ,`VOID };
188: I = { `COPY ,`CREG_TRI_DIFFUSE_LAST ,`CREG_TRI_DIFFUSE ,`VOID };
220: I = { `COPY ,`CREG_TRI_DIFFUSE_LAST ,`CREG_TRI_DIFFUSE ,`VOID };
//LABEL_BIU4:
//LABEL_BIU4:
189: I = { `RET ,`R99 ,`TRUE  };
221: I = { `RET ,`R99 ,`TRUE  };
 
 
 
 
//-------------------------------------------------------------------------
//-------------------------------------------------------------------------
//Calculate the adress of the texure coordiantes.
//Calculate the adress of the texure coordiantes.
 
 
//TAG_TCC_UCODE_ADDRESS:
//TAG_TCC_UCODE_ADDRESS:
//Do this calculation only if this triangle is the one closest to the camera
//Do this calculation only if this triangle is the one closest to the camera
190: I = { `JGX ,`LABEL_TCC_EXIT ,`CREG_t ,`CREG_LAST_t };
222: I = { `JGX ,`LABEL_TCC_EXIT ,`CREG_t ,`CREG_LAST_t };
 
 
//First get the UV coodrinates and store in R1
//First get the UV coodrinates and store in R1
//R1x: u_coordinate = U0 + last_u * (U1 - U0) + last_v * (U2 - U0)
//R1x: u_coordinate = U0 + last_u * (U1 - U0) + last_v * (U2 - U0)
//R1y: v_coordinate = V0 + last_u * (V1 - V0) + last_v * (V2 - V0)
//R1y: v_coordinate = V0 + last_u * (V1 - V0) + last_v * (V2 - V0)
//R1z: 0
//R1z: 0
 
 
191: I = { `SUB ,`R1 ,`CREG_UV1_LAST ,`CREG_UV0_LAST };
223: I = { `SUB ,`R1 ,`CREG_UV1_LAST ,`CREG_UV0_LAST };
192: I = { `SUB ,`R2 ,`CREG_UV2_LAST ,`CREG_UV0_LAST };
224: I = { `SUB ,`R2 ,`CREG_UV2_LAST ,`CREG_UV0_LAST };
193: I = { `MUL ,`R1 ,`CREG_LAST_u ,`R1 };
225: I = { `MUL ,`R1 ,`CREG_LAST_u ,`R1 };
194: I = { `MUL ,`R2 ,`CREG_LAST_v ,`R2 };
226: I = { `MUL ,`R2 ,`CREG_LAST_v ,`R2 };
195: I = { `ADD ,`R1 ,`R1 ,`R2 };
227: I = { `ADD ,`R1 ,`R1 ,`R2 };
196: I = { `ADD ,`R1 ,`R1 ,`CREG_UV0_LAST };
228: I = { `ADD ,`R1 ,`R1 ,`CREG_UV0_LAST };
 
 
//R7x : fu = (u_coordinate) * gTexture.mWidth
//R7x : fu = (u_coordinate) * gTexture.mWidth
//R7y : fv = (v_coordinate) * gTexture.mWidth
//R7y : fv = (v_coordinate) * gTexture.mWidth
//R7z : 0
//R7z : 0
197: I = { `MUL ,`R7 ,`R1 ,`CREG_TEXTURE_SIZE };
229: I = { `MUL ,`R7 ,`R1 ,`CREG_TEXTURE_SIZE };
 
 
//R1x: u1 = ((int)fu) % gTexture.mWidth
//R1x: u1 = ((int)fu) % gTexture.mWidth
//R1y: v1 = ((int)fv) % gTexture.mHeight
//R1y: v1 = ((int)fv) % gTexture.mHeight
//R1z: 0
//R1z: 0
//R2x: u2 = (u1 + 1 ) % gTexture.mWidth
//R2x: u2 = (u1 + 1 ) % gTexture.mWidth
Line 468... Line 519...
// Notice MOD2 only operates over
// Notice MOD2 only operates over
// numbers that are power of 2 also notice that the
// numbers that are power of 2 also notice that the
// textures are assumed to be squares!
// textures are assumed to be squares!
//x % 2^n == x & (2^n - 1).
//x % 2^n == x & (2^n - 1).
 
 
198: I = { `MOD ,`R1 ,`R7 ,`CREG_TEXTURE_SIZE };
230: I = { `MOD ,`R1 ,`R7 ,`CREG_TEXTURE_SIZE };
199: I = { `INC ,`R2 ,`R1 ,`VOID };
231: I = { `INC ,`R2 ,`R1 ,`VOID };
200: I = { `MOD ,`R2 ,`R2 ,`CREG_TEXTURE_SIZE };
232: I = { `MOD ,`R2 ,`R2 ,`CREG_TEXTURE_SIZE };
 
 
//Cool now we should store the values in the appropiate registers
//Cool now we should store the values in the appropiate registers
//OREG_TEX_COORD1.x = u1 + v1 * gTexture.mWidth
//OREG_TEX_COORD1.x = u1 + v1 * gTexture.mWidth
//OREG_TEX_COORD1.y = u2 + v1 * gTexture.mWidth
//OREG_TEX_COORD1.y = u2 + v1 * gTexture.mWidth
//OREG_TEX_COORD1.z = 0
//OREG_TEX_COORD1.z = 0
Line 484... Line 535...
 
 
//R1= [u1 v1 0]
//R1= [u1 v1 0]
//R2= [u2 v2 0]
//R2= [u2 v2 0]
 
 
//R2 = [v2 u2 0]
//R2 = [v2 u2 0]
201: I = { `SWIZZLE3D ,`R2 ,`SWIZZLE_YXZ  };
233: I = { `SWIZZLE3D ,`R2 ,`SWIZZLE_YXZ  };
 
 
//R3 = [v2 v1 0]
//R3 = [v2 v1 0]
202: I = { `XCHANGEX ,`R3 ,`R1 ,`R2 };
234: I = { `XCHANGEX ,`R3 ,`R1 ,`R2 };
 
 
 
 
//R4 = [u1 u2 0]
//R4 = [u1 u2 0]
203: I = { `XCHANGEX ,`R4 ,`R2 ,`R1 };
235: I = { `XCHANGEX ,`R4 ,`R2 ,`R1 };
 
 
//R2 = [v2*H v1*H 0]
//R2 = [v2*H v1*H 0]
204: I = { `UNSCALE ,`R9 ,`R3 ,`VOID };
236: I = { `UNSCALE ,`R9 ,`R3 ,`VOID };
205: I = { `UNSCALE ,`R8 ,`CREG_TEXTURE_SIZE ,`VOID };
237: I = { `UNSCALE ,`R8 ,`CREG_TEXTURE_SIZE ,`VOID };
206: I = { `IMUL ,`R2 ,`R9 ,`R8 };
238: I = { `IMUL ,`R2 ,`R9 ,`R8 };
 
 
//OREG_TEX_COORD1 = [u1 + v2*H u2 + v1*H 0]
//OREG_TEX_COORD1 = [u1 + v2*H u2 + v1*H 0]
//R4 = FixedToIinteger(R4)
//R4 = FixedToIinteger(R4)
207: I = { `UNSCALE ,`R4 ,`R4 ,`VOID };
239: I = { `UNSCALE ,`R4 ,`R4 ,`VOID };
208: I = { `ADD ,`R12 ,`R2 ,`R4 };
240: I = { `ADD ,`R12 ,`R2 ,`R4 };
209: I = { `SETX ,`R5 ,32'h3  };
241: I = { `SETX ,`R5 ,32'h3  };
210: I = { `SETY ,`R5 ,32'h3  };
242: I = { `SETY ,`R5 ,32'h3  };
211: I = { `SETZ ,`R5 ,32'h3  };
243: I = { `SETZ ,`R5 ,32'h3  };
//Multiply by 3 (the pitch)
//Multiply by 3 (the pitch)
//IMUL OREG_TEX_COORD1 R12 R5  
//IMUL OREG_TEX_COORD1 R12 R5  
212: I = { `IMUL ,`CREG_TEX_COORD1 ,`R12 ,`R5 };
244: I = { `IMUL ,`CREG_TEX_COORD1 ,`R12 ,`R5 };
 
 
//R4 = [u2 u1 0]
//R4 = [u2 u1 0]
213: I = { `SWIZZLE3D ,`R4 ,`SWIZZLE_YXZ  };
245: I = { `SWIZZLE3D ,`R4 ,`SWIZZLE_YXZ  };
 
 
 
 
//OREG_TEX_COORD2 [u2 + v2*H u1 + v1*H 0]
//OREG_TEX_COORD2 [u2 + v2*H u1 + v1*H 0]
214: I = { `ADD ,`R12 ,`R2 ,`R4 };
246: I = { `ADD ,`R12 ,`R2 ,`R4 };
//Multiply by 3 (the pitch)
//Multiply by 3 (the pitch)
//IMUL OREG_TEX_COORD2 R12 R5  
//IMUL OREG_TEX_COORD2 R12 R5  
215: I = { `IMUL ,`CREG_TEX_COORD2 ,`R12 ,`R5 };
247: I = { `IMUL ,`CREG_TEX_COORD2 ,`R12 ,`R5 };
 
 
 
 
//Cool now get the weights
//Cool now get the weights
 
 
//w1 = (1 - fracu) * (1 - fracv)
//w1 = (1 - fracu) * (1 - fracv)
Line 530... Line 581...
//w4 = fracu *  fracv
//w4 = fracu *  fracv
 
 
//R4x: fracu 
//R4x: fracu 
//R4y: fracv 
//R4y: fracv 
//R4z: 0
//R4z: 0
216: I = { `FRAC ,`R4 ,`R7 ,`VOID };
248: I = { `FRAC ,`R4 ,`R7 ,`VOID };
 
 
//R5x: fracv 
//R5x: fracv 
//R5y: fracu 
//R5y: fracu 
//R5z: 0 
//R5z: 0 
217: I = { `COPY ,`R5 ,`R4 ,`VOID };
249: I = { `COPY ,`R5 ,`R4 ,`VOID };
218: I = { `SWIZZLE3D ,`R5 ,`SWIZZLE_YXZ  };
250: I = { `SWIZZLE3D ,`R5 ,`SWIZZLE_YXZ  };
 
 
 
 
//R5x: 1 - fracv 
//R5x: 1 - fracv 
//R5y: 1 - fracu 
//R5y: 1 - fracu 
//R5y: 1
//R5y: 1
219: I = { `NEG ,`R5 ,`R5 ,`VOID };
251: I = { `NEG ,`R5 ,`R5 ,`VOID };
220: I = { `INC ,`R5 ,`R5 ,`VOID };
252: I = { `INC ,`R5 ,`R5 ,`VOID };
 
 
//R5x: 1 - fracv 
//R5x: 1 - fracv 
//R5y: 1 - fracu 
//R5y: 1 - fracu 
//R5y: (1 - fracv)(1 - fracu) 
//R5y: (1 - fracv)(1 - fracu) 
221: I = { `MULP ,`CREG_TEXWEIGHT1 ,`R5 ,`VOID };
253: I = { `MULP ,`CREG_TEXWEIGHT1 ,`R5 ,`VOID };
 
 
//CREG_TEXWEIGHT1.x = (1 - fracv)(1 - fracu) 
//CREG_TEXWEIGHT1.x = (1 - fracv)(1 - fracu) 
//CREG_TEXWEIGHT1.y = (1 - fracv)(1 - fracu) 
//CREG_TEXWEIGHT1.y = (1 - fracv)(1 - fracu) 
//CREG_TEXWEIGHT1.z = (1 - fracv)(1 - fracu) 
//CREG_TEXWEIGHT1.z = (1 - fracv)(1 - fracu) 
222: I = { `SWIZZLE3D ,`CREG_TEXWEIGHT1 ,`SWIZZLE_ZZZ  };
254: I = { `SWIZZLE3D ,`CREG_TEXWEIGHT1 ,`SWIZZLE_ZZZ  };
 
 
 
 
//R6x: w2: fracu * (1 - fracv )
//R6x: w2: fracu * (1 - fracv )
//R6y: w3: fracv * (1 - fracu )
//R6y: w3: fracv * (1 - fracu )
//R6z: 0
//R6z: 0
223: I = { `MUL ,`R6 ,`R4 ,`R5 };
255: I = { `MUL ,`R6 ,`R4 ,`R5 };
 
 
//CREG_TEXWEIGHT2.x = fracu * (1 - fracv )
//CREG_TEXWEIGHT2.x = fracu * (1 - fracv )
//CREG_TEXWEIGHT2.y = fracu * (1 - fracv )
//CREG_TEXWEIGHT2.y = fracu * (1 - fracv )
//CREG_TEXWEIGHT2.z = fracu * (1 - fracv )
//CREG_TEXWEIGHT2.z = fracu * (1 - fracv )
224: I = { `COPY ,`CREG_TEXWEIGHT2 ,`R6 ,`VOID };
256: I = { `COPY ,`CREG_TEXWEIGHT2 ,`R6 ,`VOID };
225: I = { `SWIZZLE3D ,`CREG_TEXWEIGHT2 ,`SWIZZLE_XXX  };
257: I = { `SWIZZLE3D ,`CREG_TEXWEIGHT2 ,`SWIZZLE_XXX  };
 
 
//CREG_TEXWEIGHT3.x = fracv * (1 - fracu )
//CREG_TEXWEIGHT3.x = fracv * (1 - fracu )
//CREG_TEXWEIGHT3.y = fracv * (1 - fracu )
//CREG_TEXWEIGHT3.y = fracv * (1 - fracu )
//CREG_TEXWEIGHT3.z = fracv * (1 - fracu )
//CREG_TEXWEIGHT3.z = fracv * (1 - fracu )
226: I = { `COPY ,`CREG_TEXWEIGHT3 ,`R6 ,`VOID };
258: I = { `COPY ,`CREG_TEXWEIGHT3 ,`R6 ,`VOID };
227: I = { `SWIZZLE3D ,`CREG_TEXWEIGHT3 ,`SWIZZLE_YYY  };
259: I = { `SWIZZLE3D ,`CREG_TEXWEIGHT3 ,`SWIZZLE_YYY  };
 
 
 
 
//R4x: fracu
//R4x: fracu
//R4y: fracv
//R4y: fracv
//R4z: fracu * fracv
//R4z: fracu * fracv
228: I = { `MULP ,`R4 ,`R4 ,`VOID };
260: I = { `MULP ,`R4 ,`R4 ,`VOID };
 
 
//CREG_TEXWEIGHT4.x = fracv * fracu 
//CREG_TEXWEIGHT4.x = fracv * fracu 
//CREG_TEXWEIGHT4.y = fracv * fracu 
//CREG_TEXWEIGHT4.y = fracv * fracu 
//CREG_TEXWEIGHT4.z = fracv * fracu 
//CREG_TEXWEIGHT4.z = fracv * fracu 
229: I = { `COPY ,`CREG_TEXWEIGHT4 ,`R4 ,`VOID };
261: I = { `COPY ,`CREG_TEXWEIGHT4 ,`R4 ,`VOID };
230: I = { `SWIZZLE3D ,`CREG_TEXWEIGHT4 ,`SWIZZLE_ZZZ  };
262: I = { `SWIZZLE3D ,`CREG_TEXWEIGHT4 ,`SWIZZLE_ZZZ  };
 
 
 
 
//LABEL_TCC_EXIT:
//LABEL_TCC_EXIT:
231: I = { `RET ,`R99 ,32'h0  };
263: I = { `RET ,`R99 ,32'h0  };
 
 
 
 
//-------------------------------------------------------------------------
//-------------------------------------------------------------------------
//TAG_PSU_UCODE_ADRESS:
//TAG_PSU_UCODE_ADRESS:
//Pixel Shader #1
//Pixel Shader #1
//This pixel shader has diffuse light but no textures
//This pixel shader has diffuse light but no textures
 
 
 
 
232: I = { `CROSS ,`R1 ,`CREG_E1_LAST ,`CREG_E2_LAST };
264: I = { `CROSS ,`R1 ,`CREG_E1_LAST ,`CREG_E2_LAST };
233: I = { `MAG ,`R2 ,`R1 ,`VOID };
265: I = { `MAG ,`R2 ,`R1 ,`VOID };
234: I = { `DIV ,`R1 ,`R1 ,`R2 };
266: I = { `DIV ,`R1 ,`R1 ,`R2 };
235: I = { `MUL ,`R2 ,`CREG_RAY_DIRECTION ,`CREG_LAST_t };
267: I = { `MUL ,`R2 ,`CREG_RAY_DIRECTION ,`CREG_LAST_t };
236: I = { `ADD ,`R2 ,`R2 ,`CREG_CAMERA_POSITION };
268: I = { `ADD ,`R2 ,`R2 ,`CREG_CAMERA_POSITION };
237: I = { `SUB ,`R2 ,`CURRENT_LIGHT_POS ,`R2 };
269: I = { `SUB ,`R2 ,`CURRENT_LIGHT_POS ,`R2 };
238: I = { `MAG ,`R3 ,`R2 ,`VOID };
270: I = { `MAG ,`R3 ,`R2 ,`VOID };
239: I = { `DIV ,`R2 ,`R2 ,`R3 };
271: I = { `DIV ,`R2 ,`R2 ,`R3 };
240: I = { `DOT ,`R3 ,`R2 ,`R1 };
272: I = { `DOT ,`R3 ,`R2 ,`R1 };
241: I = { `MUL ,`CREG_COLOR_ACC ,`CREG_TRI_DIFFUSE_LAST ,`CURRENT_LIGHT_DIFFUSE };
273: I = { `MUL ,`CREG_COLOR_ACC ,`CREG_TRI_DIFFUSE_LAST ,`CURRENT_LIGHT_DIFFUSE };
242: I = { `MUL ,`CREG_COLOR_ACC ,`CREG_COLOR_ACC ,`R3 };
274: I = { `MUL ,`CREG_COLOR_ACC ,`CREG_COLOR_ACC ,`R3 };
243: I = { `COPY ,`CREG_TEXTURE_COLOR ,`CREG_COLOR_ACC ,`VOID };
275: I = { `COPY ,`CREG_TEXTURE_COLOR ,`CREG_COLOR_ACC ,`VOID };
244: I = { `NOP ,`RT_FALSE   };
276: I = { `NOP ,`RT_FALSE   };
245: I = { `NOP ,`RT_FALSE   };
277: I = { `NOP ,`RT_FALSE   };
246: I = { `NOP ,`RT_FALSE   };
278: I = { `NOP ,`RT_FALSE   };
247: I = { `RETURN ,`RT_TRUE   };
279: I = { `RET ,`R99 ,`TRUE  };
 
 
//-------------------------------------------------------------------------
//-------------------------------------------------------------------------
//Pixel Shader #2
//Pixel Shader #2
//TAG_PSU_UCODE_ADRESS2:
//TAG_PSU_UCODE_ADRESS2:
//This Pixel Shader has no light but it does texturinng 
//This Pixel Shader has no light but it does texturinng 
//with bi-linear interpolation
//with bi-linear interpolation
 
 
 
 
 
 
248: I = { `COPY ,`R1 ,`CREG_TEX_COORD1 ,`VOID };
280: I = { `COPY ,`R1 ,`CREG_TEX_COORD1 ,`VOID };
249: I = { `COPY ,`R2 ,`CREG_TEX_COORD1 ,`VOID };
281: I = { `COPY ,`R2 ,`CREG_TEX_COORD1 ,`VOID };
250: I = { `COPY ,`R3 ,`CREG_TEX_COORD2 ,`VOID };
282: I = { `COPY ,`R3 ,`CREG_TEX_COORD2 ,`VOID };
251: I = { `COPY ,`R4 ,`CREG_TEX_COORD2 ,`VOID };
283: I = { `COPY ,`R4 ,`CREG_TEX_COORD2 ,`VOID };
 
 
 
 
252: I = { `SWIZZLE3D ,`R1 ,`SWIZZLE_XXX  };
284: I = { `SWIZZLE3D ,`R1 ,`SWIZZLE_XXX  };
253: I = { `SWIZZLE3D ,`R2 ,`SWIZZLE_YYY  };
285: I = { `SWIZZLE3D ,`R2 ,`SWIZZLE_YYY  };
254: I = { `SWIZZLE3D ,`R3 ,`SWIZZLE_XXX  };
286: I = { `SWIZZLE3D ,`R3 ,`SWIZZLE_XXX  };
255: I = { `SWIZZLE3D ,`R4 ,`SWIZZLE_YYY  };
287: I = { `SWIZZLE3D ,`R4 ,`SWIZZLE_YYY  };
256: I = { `ADD ,`R1 ,`R1 ,`CREG_012 };
288: I = { `ADD ,`R1 ,`R1 ,`CREG_012 };
257: I = { `ADD ,`R2 ,`R2 ,`CREG_012 };
289: I = { `ADD ,`R2 ,`R2 ,`CREG_012 };
258: I = { `ADD ,`R3 ,`R3 ,`CREG_012 };
290: I = { `ADD ,`R3 ,`R3 ,`CREG_012 };
259: I = { `ADD ,`R4 ,`R4 ,`CREG_012 };
291: I = { `ADD ,`R4 ,`R4 ,`CREG_012 };
 
 
 
 
260: I = { `TMREAD ,`CREG_TEX_COLOR1 ,`R1 ,`VOID };
292: I = { `TMREAD ,`CREG_TEX_COLOR1 ,`R1 ,`VOID };
261: I = { `NOP ,`RT_FALSE   };
293: I = { `NOP ,`RT_FALSE   };
262: I = { `TMREAD ,`CREG_TEX_COLOR2 ,`R2 ,`VOID };
294: I = { `TMREAD ,`CREG_TEX_COLOR2 ,`R2 ,`VOID };
263: I = { `NOP ,`RT_FALSE   };
295: I = { `NOP ,`RT_FALSE   };
264: I = { `TMREAD ,`CREG_TEX_COLOR3 ,`R3 ,`VOID };
296: I = { `TMREAD ,`CREG_TEX_COLOR3 ,`R3 ,`VOID };
265: I = { `NOP ,`RT_FALSE   };
297: I = { `NOP ,`RT_FALSE   };
266: I = { `TMREAD ,`CREG_TEX_COLOR4 ,`R4 ,`VOID };
298: I = { `TMREAD ,`CREG_TEX_COLOR4 ,`R4 ,`VOID };
267: I = { `NOP ,`RT_FALSE   };
299: I = { `NOP ,`RT_FALSE   };
 
 
 
 
 
 
 
 
//TextureColor.R = c1.R * w1 + c2.R * w2 + c3.R * w3 + c4.R * w4
//TextureColor.R = c1.R * w1 + c2.R * w2 + c3.R * w3 + c4.R * w4
Line 659... Line 710...
//MUL R1 CREG_TEX_COLOR4 CREG_TEXWEIGHT1  
//MUL R1 CREG_TEX_COLOR4 CREG_TEXWEIGHT1  
//MUL R2 CREG_TEX_COLOR2 CREG_TEXWEIGHT2  
//MUL R2 CREG_TEX_COLOR2 CREG_TEXWEIGHT2  
//MUL R3 CREG_TEX_COLOR1 CREG_TEXWEIGHT3  
//MUL R3 CREG_TEX_COLOR1 CREG_TEXWEIGHT3  
//MUL R4 CREG_TEX_COLOR3 CREG_TEXWEIGHT4  
//MUL R4 CREG_TEX_COLOR3 CREG_TEXWEIGHT4  
 
 
268: I = { `MUL ,`R1 ,`CREG_TEX_COLOR3 ,`CREG_TEXWEIGHT1 };
300: I = { `MUL ,`R1 ,`CREG_TEX_COLOR3 ,`CREG_TEXWEIGHT1 };
269: I = { `MUL ,`R2 ,`CREG_TEX_COLOR2 ,`CREG_TEXWEIGHT2 };
301: I = { `MUL ,`R2 ,`CREG_TEX_COLOR2 ,`CREG_TEXWEIGHT2 };
270: I = { `MUL ,`R3 ,`CREG_TEX_COLOR1 ,`CREG_TEXWEIGHT3 };
302: I = { `MUL ,`R3 ,`CREG_TEX_COLOR1 ,`CREG_TEXWEIGHT3 };
271: I = { `MUL ,`R4 ,`CREG_TEX_COLOR4 ,`CREG_TEXWEIGHT4 };
303: I = { `MUL ,`R4 ,`CREG_TEX_COLOR4 ,`CREG_TEXWEIGHT4 };
 
 
272: I = { `ADD ,`CREG_TEXTURE_COLOR ,`R1 ,`R2 };
304: I = { `ADD ,`CREG_TEXTURE_COLOR ,`R1 ,`R2 };
273: I = { `ADD ,`CREG_TEXTURE_COLOR ,`CREG_TEXTURE_COLOR ,`R3 };
305: I = { `ADD ,`CREG_TEXTURE_COLOR ,`CREG_TEXTURE_COLOR ,`R3 };
274: I = { `ADD ,`CREG_TEXTURE_COLOR ,`CREG_TEXTURE_COLOR ,`R4 };
306: I = { `ADD ,`CREG_TEXTURE_COLOR ,`CREG_TEXTURE_COLOR ,`R4 };
275: I = { `RETURN ,`RT_TRUE   };
307: I = { `RET ,`R99 ,`TRUE  };
 
 
 
 
//-------------------------------------------------------------------------
//-------------------------------------------------------------------------
//Default User constants
//Default User constants
//TAG_USERCONSTANTS:
//TAG_USERCONSTANTS:
 
 
276: I = { `NOP ,`RT_FALSE   };
308: I = { `NOP ,`RT_FALSE   };
277: I = { `RETURN ,`RT_TRUE   };
309: I = { `RETURN ,`RT_FALSE   };
 
 
//TAG_PIXELSHADER:
//TAG_PIXELSHADER:
//Default Pixel Shader (just outputs texture)
//Default Pixel Shader (just outputs texture)
278: I = { `OMWRITE ,`OREG_PIXEL_COLOR ,`CREG_CURRENT_OUTPUT_PIXEL ,`CREG_TEXTURE_COLOR };
310: I = { `OMWRITE ,`OREG_PIXEL_COLOR ,`CREG_CURRENT_OUTPUT_PIXEL ,`CREG_TEXTURE_COLOR };
279: I = { `RETURN ,`RT_TRUE   };
311: I = { `NOP ,`RT_FALSE   };
 
312: I = { `RET ,`R99 ,`TRUE  };
 
313: I = { `NOP ,`RT_FALSE   };
 
 
 
 
//-------------------------------------------------------------------------             
//-------------------------------------------------------------------------             
 
 
 
 

powered by: WebSVN 2.1.0

© copyright 1999-2024 OpenCores.org, equivalent to Oliscience, all rights reserved. OpenCores®, registered trademark.