OpenCores
URL https://opencores.org/ocsvn/theia_gpu/theia_gpu/trunk

Subversion Repositories theia_gpu

[/] [theia_gpu/] [branches/] [icarus_version/] [rtl/] [Module_ROM.v] - Diff between revs 183 and 188

Go to most recent revision | Show entire file | Details | Blame | View Log

Rev 183 Rev 188
Line 49... Line 49...
`define RAY_INSIDE_BOX                          `R3
`define RAY_INSIDE_BOX                          `R3
`define CURRENT_LIGHT_POS `CREG_FIRST_LIGTH  //TODO: CAHNEG T 
`define CURRENT_LIGHT_POS `CREG_FIRST_LIGTH  //TODO: CAHNEG T 
`define CURRENT_LIGHT_DIFFUSE 16'h6
`define CURRENT_LIGHT_DIFFUSE 16'h6
 
 
//-----------------------------------------------------------------
//-----------------------------------------------------------------
`define TAG_PIXELSHADER 16'd311
`define TAG_PIXELSHADER 16'd314
`define TAG_USERCONSTANTS 16'd309
`define TAG_USERCONSTANTS 16'd312
`define TAG_PSU_UCODE_ADRESS2 16'd281
`define TAG_PSU_UCODE_ADRESS2 16'd284
`define TAG_PSU_UCODE_ADRESS 16'd265
`define TAG_PSU_UCODE_ADRESS 16'd268
`define LABEL_TCC_EXIT 16'd264
`define LABEL_TCC_EXIT 16'd267
`define TAG_TCC_UCODE_ADDRESS 16'd223
`define TAG_TCC_UCODE_ADDRESS 16'd226
`define LABEL_BIU4 16'd222
`define LABEL_BIU4 16'd225
`define LABEL_BIU3 16'd211
`define LABEL_BIU3 16'd211
`define LABEL_BIU2 16'd207
`define LABEL_BIU2 16'd205
`define LABEL_BIU1 16'd204
`define LABEL_BIU1 16'd202
`define TAG_BIU_UCODE_ADDRESS 16'd186
`define TAG_BIU_UCODE_ADDRESS 16'd185
`define LABEL_HIT 16'd184
`define LABEL_HIT 16'd183
`define LABEL15 16'd182
`define LABEL15 16'd181
`define LABEL14 16'd180
`define LABEL14 16'd179
`define LABEL13 16'd178
`define LABEL13 16'd177
`define LABEL_TEST_XY_PLANE 16'd173
`define LABEL_TEST_XY_PLANE 16'd172
`define LABEL12 16'd171
`define LABEL12 16'd170
`define LABEL11 16'd169
`define LABEL11 16'd168
`define LABEL10 16'd167
`define LABEL10 16'd166
`define LABEL_TEST_XZ_PLANE 16'd161
`define LABEL_TEST_XZ_PLANE 16'd160
`define LABEL9 16'd159
`define LABEL9 16'd158
`define LABEL8 16'd157
`define LABEL8 16'd156
`define LABEL7 16'd155
`define LABEL7 16'd154
`define LABEL_TEST_YZ_PLANE 16'd149
`define LABEL_TEST_YZ_PLANE 16'd148
`define LABEL_RAY_INSIDE_BOX 16'd146
`define LABEL_RAY_INSIDE_BOX 16'd145
`define LABEL_ELSEZ 16'd145
`define LABEL_ELSEZ 16'd144
`define LABEL6 16'd142
`define LABEL6 16'd141
`define LABEL_ELESE_IFZ 16'd138
`define LABEL_ELESE_IFZ 16'd137
`define LABEL5 16'd135
`define LABEL5 16'd134
`define LABEL_TEST_RAY_Z_ORIGEN 16'd131
`define LABEL_TEST_RAY_Z_ORIGEN 16'd130
`define LABEL_ELSEY 16'd130
`define LABEL_ELSEY 16'd129
`define LABEL4 16'd127
`define LABEL4 16'd126
`define LABEL_ELESE_IFY 16'd123
`define LABEL_ELESE_IFY 16'd122
`define LABEL3 16'd120
`define LABEL3 16'd119
`define LABEL_TEST_RAY_Y_ORIGEN 16'd116
`define LABEL_TEST_RAY_Y_ORIGEN 16'd115
`define LABEL_ELSEX 16'd115
`define LABEL_ELSEX 16'd114
`define LABEL2 16'd112
`define LABEL2 16'd111
`define LABEL_ELSE_IFX 16'd108
`define LABEL_ELSE_IFX 16'd107
`define LABEL1 16'd105
`define LABEL1 16'd104
`define LABEL_TEST_RAY_X_ORIGEN 16'd101
`define LABEL_TEST_RAY_X_ORIGEN 16'd100
`define TAG_AABBIU_UCODE_ADDRESS 16'd98
`define TAG_AABBIU_UCODE_ADDRESS 16'd97
`define LABEL_ALLDONE 16'd96
`define LABEL_ALLDONE 16'd95
`define LABEL_NPG_NEXT_ROW 16'd91
`define LABEL_NPG_NEXT_ROW 16'd90
`define TAG_NPG_UCODE_ADDRESS 16'd82
`define TAG_NPG_UCODE_ADDRESS 16'd81
`define TAG_RGU_UCODE_ADDRESS 16'd74
`define TAG_RGU_UCODE_ADDRESS 16'd73
`define TAG_CPPU_UCODE_ADDRESS 16'd70
`define TAG_CPPU_UCODE_ADDRESS 16'd69
`define LABEL_MAIN_RENDER_DONE 16'd69
`define LABEL_MAIN_RENDER_DONE 16'd68
`define LABEL_MAIN_IS_NO_HIT 16'd62
`define LABEL_MAIN_IS_NO_HIT 16'd61
`define LABEL_MAIN_IS_HIT 16'd51
`define LABEL_MAIN_IS_HIT 16'd50
`define LABEL_MAIN_CHECK_HIT 16'd50
`define LABEL_MAIN_CHECK_HIT 16'd49
`define LABEL_DEC_PRIM_COUNT 16'd47
`define LABEL_DEC_PRIM_COUNT 16'd46
`define LABEL_MAIN_TEST_INTERSECTION 16'd42
`define LABEL_MAIN_TEST_INTERSECTION 16'd41
`define TAG_ADRR_MAIN 16'd37
`define TAG_ADRR_MAIN 16'd37
 
 
 
 
//-------------------------------------------------------------------------
//-------------------------------------------------------------------------
//Default values for some registers after reset
//Default values for some registers after reset
//-------------------------------------------------------------------------
//-------------------------------------------------------------------------
//This is the first code that gets executed after the machine is
//This is the first code that gets executed after the machine is
//externally configured ie after the MST_I goes from 1 to zero.
//externally configured ie after the MST_I goes from 1 to zero.
//It sets initial values for some of the internal registers
//It sets initial values for some of the internal registers
 
 
 
 
 
 
0: I = { `ZERO ,`CREG_LAST_t ,`VOID ,`VOID };
0: I = { `ZERO ,`CREG_LAST_t ,`VOID ,`VOID };
//Set the last 't' to very positive value(500) 
//Set the last 't' to very positive value(500) 
1: I = { `SETX ,`CREG_LAST_t ,32'h1F40000  };
1: I = { `SETX ,`CREG_LAST_t ,32'h1F40000  };
2: I = { `ZERO ,`OREG_PIXEL_COLOR ,`VOID ,`VOID };
2: I = { `ZERO ,`OREG_PIXEL_COLOR ,`VOID ,`VOID };
3: I = { `COPY ,`CREG_PIXEL_2D_POSITION ,`CREG_PIXEL_2D_INITIAL_POSITION ,`VOID };
3: I = { `COPY ,`CREG_PIXEL_2D_POSITION ,`CREG_PIXEL_2D_INITIAL_POSITION ,`VOID };
Line 183... Line 181...
36: I = { `RETURN ,`RT_TRUE   };
36: I = { `RETURN ,`RT_TRUE   };
 
 
//---------------------------------------------------------------------
//---------------------------------------------------------------------
//This is the main sub-routine
//This is the main sub-routine
//TAG_ADRR_MAIN:
//TAG_ADRR_MAIN:
37: I =  { `NOP ,`RT_FALSE   };
 
        //Generate the ray, but this is wrong, it has to generate only once for all the triangles..
        //Generate the ray, but this is wrong, it has to generate only once for all the triangles..
38: I = { `JNEX ,`LABEL_MAIN_TEST_INTERSECTION ,`CREG_PRIMITIVE_COUNT ,`CREG_MAX_PRIMITIVES };
37: I = { `JNEX ,`LABEL_MAIN_TEST_INTERSECTION ,`CREG_PRIMITIVE_COUNT ,`CREG_MAX_PRIMITIVES };
39: I = { `CALL ,`ENTRYPOINT_ADRR_RGU ,`VOID ,`VOID };
38: I = { `CALL ,`ENTRYPOINT_ADRR_RGU ,`VOID ,`VOID };
40: I = { `ZERO ,`CREG_HIT ,`VOID ,`VOID };
39: I = { `ZERO ,`CREG_HIT ,`VOID ,`VOID };
41: I = { `RESCALE ,`CREG_PRIMITIVE_COUNT ,`CREG_MAX_PRIMITIVES ,`VOID };
40: I = { `RESCALE ,`CREG_PRIMITIVE_COUNT ,`CREG_MAX_PRIMITIVES ,`VOID };
 
 
//LABEL_MAIN_TEST_INTERSECTION:
//LABEL_MAIN_TEST_INTERSECTION:
        //Check ofr triangle intersection
        //Check ofr triangle intersection
42: I = { `NOP ,`RT_FALSE   };
41: I = { `NOP ,`RT_FALSE   };
43: I = { `CALL ,`ENTRYPOINT_ADRR_BIU ,`VOID ,`VOID };
42: I = { `CALL ,`ENTRYPOINT_ADRR_BIU ,`VOID ,`VOID };
44: I = { `NOP ,`RT_FALSE   };
43: I = { `NOP ,`RT_FALSE   };
 
 
45: I = { `JEQX ,`LABEL_DEC_PRIM_COUNT ,`R99 ,`CREG_ZERO };
44: I = { `JEQX ,`LABEL_DEC_PRIM_COUNT ,`R99 ,`CREG_ZERO };
46: I = { `COPY ,`CREG_HIT ,`R99 ,`VOID };
45: I = { `COPY ,`CREG_HIT ,`R99 ,`VOID };
//LABEL_DEC_PRIM_COUNT:
//LABEL_DEC_PRIM_COUNT:
47: I = { `DEC ,`CREG_PRIMITIVE_COUNT ,`CREG_PRIMITIVE_COUNT ,`VOID };
46: I = { `DEC ,`CREG_PRIMITIVE_COUNT ,`CREG_PRIMITIVE_COUNT ,`VOID };
48: I = { `JEQX ,`LABEL_MAIN_CHECK_HIT ,`CREG_PRIMITIVE_COUNT ,`CREG_ZERO };
47: I = { `JEQX ,`LABEL_MAIN_CHECK_HIT ,`CREG_PRIMITIVE_COUNT ,`CREG_ZERO };
49: I = { `RETURN ,`RT_FALSE   };
48: I = { `RETURN ,`RT_FALSE   };
 
 
//LABEL_MAIN_CHECK_HIT:
//LABEL_MAIN_CHECK_HIT:
50: I = { `JEQX ,`LABEL_MAIN_IS_NO_HIT ,`CREG_HIT ,`CREG_ZERO };
49: I = { `JEQX ,`LABEL_MAIN_IS_NO_HIT ,`CREG_HIT ,`CREG_ZERO };
 
 
 
 
 
 
//LABEL_MAIN_IS_HIT:
//LABEL_MAIN_IS_HIT:
51: I = { `NOP ,`RT_FALSE   };
50: I = { `NOP ,`RT_FALSE   };
52: I = { `CALL ,`ENTRYPOINT_ADRR_TCC ,`VOID ,`VOID };
51: I = { `CALL ,`ENTRYPOINT_ADRR_TCC ,`VOID ,`VOID };
53: I = { `NOP ,`RT_FALSE   };
52: I = { `NOP ,`RT_FALSE   };
54: I = { `CALL ,`ENTRYPOINT_ADRR_PSU2 ,`VOID ,`VOID };
53: I = { `CALL ,`ENTRYPOINT_ADRR_PSU2 ,`VOID ,`VOID };
55: I = { `NOP ,`RT_FALSE   };
54: I = { `NOP ,`RT_FALSE   };
56: I = { `CALL ,`ENTRYPOINT_ADRR_PIXELSHADER ,`VOID ,`VOID };
55: I = { `CALL ,`ENTRYPOINT_ADRR_PIXELSHADER ,`VOID ,`VOID };
57: I = { `NOP ,`RT_FALSE   };
56: I = { `NOP ,`RT_FALSE   };
58: I = { `CALL ,`ENTRYPOINT_ADRR_NPG ,`VOID ,`VOID };
57: I = { `CALL ,`ENTRYPOINT_ADRR_NPG ,`VOID ,`VOID };
59: I = { `NOP ,`RT_FALSE   };
58: I = { `NOP ,`RT_FALSE   };
60: I = { `JEQX ,`LABEL_MAIN_RENDER_DONE ,`R99 ,`CREG_ZERO };
59: I = { `JEQX ,`LABEL_MAIN_RENDER_DONE ,`R99 ,`CREG_ZERO };
61: I = { `RETURN ,`RT_TRUE   };
60: I = { `RETURN ,`RT_TRUE   };
 
 
 
 
 
 
//LABEL_MAIN_IS_NO_HIT:
//LABEL_MAIN_IS_NO_HIT:
62: I = { `NOP ,`RT_FALSE   };
61: I = { `NOP ,`RT_FALSE   };
63: I = { `CALL ,`ENTRYPOINT_ADRR_PIXELSHADER ,`VOID ,`VOID };
62: I = { `CALL ,`ENTRYPOINT_ADRR_PIXELSHADER ,`VOID ,`VOID };
64: I = { `NOP ,`RT_FALSE   };
63: I = { `NOP ,`RT_FALSE   };
65: I = { `CALL ,`ENTRYPOINT_ADRR_NPG ,`VOID ,`VOID };
64: I = { `CALL ,`ENTRYPOINT_ADRR_NPG ,`VOID ,`VOID };
66: I = { `NOP ,`RT_FALSE   };
65: I = { `NOP ,`RT_FALSE   };
67: I = { `JNEX ,`LABEL_MAIN_RENDER_DONE ,`R99 ,`CREG_ZERO };
66: I = { `JNEX ,`LABEL_MAIN_RENDER_DONE ,`R99 ,`CREG_ZERO };
68: I = { `RETURN ,`RT_TRUE   };
67: I = { `RETURN ,`RT_TRUE   };
//LABEL_MAIN_RENDER_DONE:
//LABEL_MAIN_RENDER_DONE:
69: I = { `RETURN ,`RT_TRUE   };
68: I = { `RETURN ,`RT_TRUE   };
 
 
 
 
//----------------------------------------------------------------------          
//----------------------------------------------------------------------          
//Micro code for CPPU
//Micro code for CPPU
//TAG_CPPU_UCODE_ADDRESS:
//TAG_CPPU_UCODE_ADDRESS:
 
 
 
 
70: I = { `SUB ,`R1 ,`CREG_PROJECTION_WINDOW_MAX ,`CREG_PROJECTION_WINDOW_MIN };
69: I = { `SUB ,`R1 ,`CREG_PROJECTION_WINDOW_MAX ,`CREG_PROJECTION_WINDOW_MIN };
71: I = { `DIV ,`CREG_PROJECTION_WINDOW_SCALE ,`R1 ,`CREG_RESOLUTION };
70: I = { `DIV ,`CREG_PROJECTION_WINDOW_SCALE ,`R1 ,`CREG_RESOLUTION };
72: I = { `COPY ,`CREG_PRIMITIVE_COUNT ,`CREG_MAX_PRIMITIVES ,`VOID };
71: I = { `COPY ,`CREG_PRIMITIVE_COUNT ,`CREG_MAX_PRIMITIVES ,`VOID };
73: I = { `RETURN ,`RT_FALSE   };
72: I = { `RETURN ,`RT_FALSE   };
 
 
//----------------------------------------------------------------------          
//----------------------------------------------------------------------          
//Micro code for RGU
//Micro code for RGU
//TAG_RGU_UCODE_ADDRESS:
//TAG_RGU_UCODE_ADDRESS:
 
 
 
 
74: I = { `MUL ,`R1 ,`CREG_PIXEL_2D_POSITION ,`CREG_PROJECTION_WINDOW_SCALE };
73: I = { `MUL ,`R1 ,`CREG_PIXEL_2D_POSITION ,`CREG_PROJECTION_WINDOW_SCALE };
75: I = { `ADD ,`R1 ,`R1 ,`CREG_PROJECTION_WINDOW_MIN };
74: I = { `ADD ,`R1 ,`R1 ,`CREG_PROJECTION_WINDOW_MIN };
76: I = { `SUB ,`CREG_UNORMALIZED_DIRECTION ,`R1 ,`CREG_CAMERA_POSITION };
75: I = { `SUB ,`CREG_UNORMALIZED_DIRECTION ,`R1 ,`CREG_CAMERA_POSITION };
77: I = { `MAG ,`R2 ,`CREG_UNORMALIZED_DIRECTION ,`VOID };
76: I = { `MAG ,`R2 ,`CREG_UNORMALIZED_DIRECTION ,`VOID };
78: I = { `DIV ,`CREG_RAY_DIRECTION ,`CREG_UNORMALIZED_DIRECTION ,`R2 };
77: I = { `DIV ,`CREG_RAY_DIRECTION ,`CREG_UNORMALIZED_DIRECTION ,`R2 };
79: I = { `DEC ,`CREG_LAST_COL ,`CREG_PIXEL_2D_FINAL_POSITION ,`VOID };
78: I = { `DEC ,`CREG_LAST_COL ,`CREG_PIXEL_2D_FINAL_POSITION ,`VOID };
80: I = { `SETX ,`CREG_LAST_t ,32'h1F40000  };
79: I = { `SETX ,`CREG_LAST_t ,32'h1F40000  };
 
 
81: I = { `RET ,`R99 ,`TRUE  };
80: I = { `RET ,`R99 ,`TRUE  };
//----------------------------------------------------------------------
//----------------------------------------------------------------------
//Next Pixel generation Code (NPG)
//Next Pixel generation Code (NPG)
//TAG_NPG_UCODE_ADDRESS:
//TAG_NPG_UCODE_ADDRESS:
 
 
82: I = { `COPY ,`CREG_PRIMITIVE_COUNT ,`CREG_MAX_PRIMITIVES ,`VOID };
81: I = { `COPY ,`CREG_PRIMITIVE_COUNT ,`CREG_MAX_PRIMITIVES ,`VOID };
 
 
83: I = { `ZERO ,`CREG_TEXTURE_COLOR ,`VOID ,`VOID };
82: I = { `ZERO ,`CREG_TEXTURE_COLOR ,`VOID ,`VOID };
84: I = { `SETX ,`CREG_TEXTURE_COLOR ,32'h60000  };
83: I = { `SETX ,`CREG_TEXTURE_COLOR ,32'h60000  };
85: I = { `ADD ,`CREG_CURRENT_OUTPUT_PIXEL ,`CREG_CURRENT_OUTPUT_PIXEL ,`CREG_3 };
84: I = { `ADD ,`CREG_CURRENT_OUTPUT_PIXEL ,`CREG_CURRENT_OUTPUT_PIXEL ,`CREG_3 };
 
 
86: I = { `ADD ,`CREG_PIXEL_PITCH ,`CREG_PIXEL_PITCH ,`CREG_3 };
85: I = { `ADD ,`CREG_PIXEL_PITCH ,`CREG_PIXEL_PITCH ,`CREG_3 };
87: I = { `COPY ,`OREG_ADDR_O ,`CREG_PIXEL_PITCH ,`VOID };
86: I = { `COPY ,`OREG_ADDR_O ,`CREG_PIXEL_PITCH ,`VOID };
88: I = { `JGEX ,`LABEL_NPG_NEXT_ROW ,`CREG_PIXEL_2D_POSITION ,`CREG_LAST_COL };
87: I = { `JGEX ,`LABEL_NPG_NEXT_ROW ,`CREG_PIXEL_2D_POSITION ,`CREG_LAST_COL };
89: I = { `INCX ,`CREG_PIXEL_2D_POSITION ,`CREG_PIXEL_2D_POSITION ,`VOID };
88: I = { `INCX ,`CREG_PIXEL_2D_POSITION ,`CREG_PIXEL_2D_POSITION ,`VOID };
90: I = { `RET ,`R99 ,`FALSE  };
89: I = { `RET ,`R99 ,`FALSE  };
 
 
//LABEL_NPG_NEXT_ROW:
//LABEL_NPG_NEXT_ROW:
91: I = { `SETX ,`CREG_PIXEL_2D_POSITION ,32'h0  };
90: I = { `SETX ,`CREG_PIXEL_2D_POSITION ,32'h0  };
92: I = { `INCY ,`CREG_PIXEL_2D_POSITION ,`CREG_PIXEL_2D_POSITION ,`VOID };
91: I = { `INCY ,`CREG_PIXEL_2D_POSITION ,`CREG_PIXEL_2D_POSITION ,`VOID };
93: I = { `JGEY ,`LABEL_ALLDONE ,`CREG_PIXEL_2D_POSITION ,`CREG_PIXEL_2D_FINAL_POSITION };
92: I = { `JGEY ,`LABEL_ALLDONE ,`CREG_PIXEL_2D_POSITION ,`CREG_PIXEL_2D_FINAL_POSITION };
94: I = { `NOP ,`RT_FALSE   };
93: I = { `NOP ,`RT_FALSE   };
95: I = { `RET ,`R99 ,`FALSE  };
94: I = { `RET ,`R99 ,`FALSE  };
 
 
//LABEL_ALLDONE:
//LABEL_ALLDONE:
96: I = { `NOP ,`RT_FALSE   };
95: I = { `NOP ,`RT_FALSE   };
97: I = { `RET ,`R99 ,`TRUE  };
96: I = { `RET ,`R99 ,`TRUE  };
 
 
//----------------------------------------------------------------------
//----------------------------------------------------------------------
//Micro code for AABBIU
//Micro code for AABBIU
//TAG_AABBIU_UCODE_ADDRESS:
//TAG_AABBIU_UCODE_ADDRESS:
 
 
98: I = { `ZERO ,`R3 ,`VOID ,`VOID };
97: I = { `ZERO ,`R3 ,`VOID ,`VOID };
99: I = { `SETX ,`CREG_LAST_t ,32'h1F40000  };
98: I = { `SETX ,`CREG_LAST_t ,32'h1F40000  };
100: I = { `RETURN ,`RT_TRUE   };
99: I = { `RETURN ,`RT_TRUE   };
 
 
//LABEL_TEST_RAY_X_ORIGEN:
//LABEL_TEST_RAY_X_ORIGEN:
101: I = { `JGEX ,`LABEL_ELSE_IFX ,`CREG_CAMERA_POSITION ,`CREG_AABBMIN };
100: I = { `JGEX ,`LABEL_ELSE_IFX ,`CREG_CAMERA_POSITION ,`CREG_AABBMIN };
102: I = { `SUB ,`R1 ,`CREG_AABBMIN ,`CREG_CAMERA_POSITION };
101: I = { `SUB ,`R1 ,`CREG_AABBMIN ,`CREG_CAMERA_POSITION };
103: I = { `JLEX ,`LABEL1 ,`R1 ,`CREG_UNORMALIZED_DIRECTION };
102: I = { `JLEX ,`LABEL1 ,`R1 ,`CREG_UNORMALIZED_DIRECTION };
104: I = { `RETURN ,`RT_FALSE   };
103: I = { `RETURN ,`RT_FALSE   };
 
 
//LABEL1:
//LABEL1:
105: I = { `SETX ,`RAY_INSIDE_BOX ,32'd0  };
104: I = { `SETX ,`RAY_INSIDE_BOX ,32'd0  };
106: I = { `DIV ,`R6 ,`R1 ,`CREG_UNORMALIZED_DIRECTION };
105: I = { `DIV ,`R6 ,`R1 ,`CREG_UNORMALIZED_DIRECTION };
107: I = { `JMP ,`LABEL_TEST_RAY_Y_ORIGEN ,`VOID ,`VOID };
106: I = { `JMP ,`LABEL_TEST_RAY_Y_ORIGEN ,`VOID ,`VOID };
 
 
//LABEL_ELSE_IFX:
//LABEL_ELSE_IFX:
108: I = { `JLEX ,`LABEL_ELSEX ,`CREG_CAMERA_POSITION ,`CREG_AABBMAX };
107: I = { `JLEX ,`LABEL_ELSEX ,`CREG_CAMERA_POSITION ,`CREG_AABBMAX };
109: I = { `SUB ,`R1 ,`CREG_AABBMAX ,`CREG_CAMERA_POSITION };
108: I = { `SUB ,`R1 ,`CREG_AABBMAX ,`CREG_CAMERA_POSITION };
110: I = { `JGEX ,`LABEL2 ,`R1 ,`CREG_UNORMALIZED_DIRECTION };
109: I = { `JGEX ,`LABEL2 ,`R1 ,`CREG_UNORMALIZED_DIRECTION };
111: I = { `RETURN ,`RT_FALSE   };
110: I = { `RETURN ,`RT_FALSE   };
 
 
//LABEL2:
//LABEL2:
112: I = { `SETX ,`RAY_INSIDE_BOX ,32'd0  };
111: I = { `SETX ,`RAY_INSIDE_BOX ,32'd0  };
113: I = { `DIV ,`R6 ,`R1 ,`CREG_UNORMALIZED_DIRECTION };
112: I = { `DIV ,`R6 ,`R1 ,`CREG_UNORMALIZED_DIRECTION };
114: I = { `JMP ,`LABEL_TEST_RAY_Y_ORIGEN ,`VOID ,`VOID };
113: I = { `JMP ,`LABEL_TEST_RAY_Y_ORIGEN ,`VOID ,`VOID };
//LABEL_ELSEX:
//LABEL_ELSEX:
115: I = { `SETX ,`R5 ,32'b1  };
114: I = { `SETX ,`R5 ,32'b1  };
 
 
//LABEL_TEST_RAY_Y_ORIGEN:
//LABEL_TEST_RAY_Y_ORIGEN:
116: I = { `JGEY ,`LABEL_ELESE_IFY ,`CREG_CAMERA_POSITION ,`CREG_AABBMIN };
115: I = { `JGEY ,`LABEL_ELESE_IFY ,`CREG_CAMERA_POSITION ,`CREG_AABBMIN };
117: I = { `SUB ,`R1 ,`CREG_AABBMIN ,`CREG_CAMERA_POSITION };
116: I = { `SUB ,`R1 ,`CREG_AABBMIN ,`CREG_CAMERA_POSITION };
118: I = { `JLEY ,`LABEL3 ,`R1 ,`CREG_UNORMALIZED_DIRECTION };
117: I = { `JLEY ,`LABEL3 ,`R1 ,`CREG_UNORMALIZED_DIRECTION };
119: I = { `RETURN ,`RT_FALSE   };
118: I = { `RETURN ,`RT_FALSE   };
 
 
//LABEL3:
//LABEL3:
120: I = { `SETX ,`RAY_INSIDE_BOX ,32'd0  };
119: I = { `SETX ,`RAY_INSIDE_BOX ,32'd0  };
121: I = { `DIV ,`R6 ,`R1 ,`CREG_UNORMALIZED_DIRECTION };
120: I = { `DIV ,`R6 ,`R1 ,`CREG_UNORMALIZED_DIRECTION };
122: I = { `JMP ,`LABEL_TEST_RAY_Z_ORIGEN ,`VOID ,`VOID };
121: I = { `JMP ,`LABEL_TEST_RAY_Z_ORIGEN ,`VOID ,`VOID };
 
 
//LABEL_ELESE_IFY:
//LABEL_ELESE_IFY:
123: I = { `JLEY ,`LABEL_ELSEY ,`CREG_CAMERA_POSITION ,`CREG_AABBMAX };
122: I = { `JLEY ,`LABEL_ELSEY ,`CREG_CAMERA_POSITION ,`CREG_AABBMAX };
124: I = { `SUB ,`R1 ,`CREG_AABBMAX ,`CREG_CAMERA_POSITION };
123: I = { `SUB ,`R1 ,`CREG_AABBMAX ,`CREG_CAMERA_POSITION };
125: I = { `JGEY ,`LABEL4 ,`R1 ,`CREG_UNORMALIZED_DIRECTION };
124: I = { `JGEY ,`LABEL4 ,`R1 ,`CREG_UNORMALIZED_DIRECTION };
126: I = { `RETURN ,`RT_FALSE   };
125: I = { `RETURN ,`RT_FALSE   };
 
 
//LABEL4:
//LABEL4:
127: I = { `SETX ,`RAY_INSIDE_BOX ,32'd0  };
126: I = { `SETX ,`RAY_INSIDE_BOX ,32'd0  };
128: I = { `DIV ,`R6 ,`R1 ,`CREG_UNORMALIZED_DIRECTION };
127: I = { `DIV ,`R6 ,`R1 ,`CREG_UNORMALIZED_DIRECTION };
129: I = { `JMP ,`LABEL_TEST_RAY_Z_ORIGEN ,`VOID ,`VOID };
128: I = { `JMP ,`LABEL_TEST_RAY_Z_ORIGEN ,`VOID ,`VOID };
 
 
//LABEL_ELSEY:
//LABEL_ELSEY:
130: I = { `SETY ,`R5 ,32'b1  };
129: I = { `SETY ,`R5 ,32'b1  };
 
 
//LABEL_TEST_RAY_Z_ORIGEN:
//LABEL_TEST_RAY_Z_ORIGEN:
131: I = { `JGEZ ,`LABEL_ELESE_IFZ ,`CREG_CAMERA_POSITION ,`CREG_AABBMIN };
130: I = { `JGEZ ,`LABEL_ELESE_IFZ ,`CREG_CAMERA_POSITION ,`CREG_AABBMIN };
132: I = { `SUB ,`R1 ,`CREG_AABBMIN ,`CREG_CAMERA_POSITION };
131: I = { `SUB ,`R1 ,`CREG_AABBMIN ,`CREG_CAMERA_POSITION };
133: I = { `JLEZ ,`LABEL5 ,`R1 ,`CREG_UNORMALIZED_DIRECTION };
132: I = { `JLEZ ,`LABEL5 ,`R1 ,`CREG_UNORMALIZED_DIRECTION };
134: I = { `RETURN ,`RT_FALSE   };
133: I = { `RETURN ,`RT_FALSE   };
 
 
//LABEL5:
//LABEL5:
135: I = { `SETX ,`RAY_INSIDE_BOX ,32'd0  };
134: I = { `SETX ,`RAY_INSIDE_BOX ,32'd0  };
136: I = { `DIV ,`R6 ,`R1 ,`CREG_UNORMALIZED_DIRECTION };
135: I = { `DIV ,`R6 ,`R1 ,`CREG_UNORMALIZED_DIRECTION };
137: I = { `JMP ,`LABEL_RAY_INSIDE_BOX ,`VOID ,`VOID };
136: I = { `JMP ,`LABEL_RAY_INSIDE_BOX ,`VOID ,`VOID };
 
 
//LABEL_ELESE_IFZ:
//LABEL_ELESE_IFZ:
138: I = { `JLEZ ,`LABEL_ELSEZ ,`CREG_CAMERA_POSITION ,`CREG_AABBMAX };
137: I = { `JLEZ ,`LABEL_ELSEZ ,`CREG_CAMERA_POSITION ,`CREG_AABBMAX };
139: I = { `SUB ,`R1 ,`CREG_AABBMAX ,`CREG_CAMERA_POSITION };
138: I = { `SUB ,`R1 ,`CREG_AABBMAX ,`CREG_CAMERA_POSITION };
140: I = { `JGEZ ,`LABEL6 ,`R1 ,`CREG_UNORMALIZED_DIRECTION };
139: I = { `JGEZ ,`LABEL6 ,`R1 ,`CREG_UNORMALIZED_DIRECTION };
141: I = { `RETURN ,`RT_FALSE   };
140: I = { `RETURN ,`RT_FALSE   };
 
 
//LABEL6:
//LABEL6:
142: I = { `SETX ,`RAY_INSIDE_BOX ,32'd0  };
141: I = { `SETX ,`RAY_INSIDE_BOX ,32'd0  };
143: I = { `DIV ,`R6 ,`R1 ,`CREG_UNORMALIZED_DIRECTION };
142: I = { `DIV ,`R6 ,`R1 ,`CREG_UNORMALIZED_DIRECTION };
144: I = { `JMP ,`LABEL_RAY_INSIDE_BOX ,`VOID ,`VOID };
143: I = { `JMP ,`LABEL_RAY_INSIDE_BOX ,`VOID ,`VOID };
 
 
//LABEL_ELSEZ:
//LABEL_ELSEZ:
145: I = { `SETZ ,`R5 ,32'b1  };
144: I = { `SETZ ,`R5 ,32'b1  };
 
 
//LABEL_RAY_INSIDE_BOX:
//LABEL_RAY_INSIDE_BOX:
146: I = { `ZERO ,`R1 ,`VOID ,`VOID };
145: I = { `ZERO ,`R1 ,`VOID ,`VOID };
147: I = { `JEQX ,`LABEL_TEST_YZ_PLANE ,`R1 ,`RAY_INSIDE_BOX };
146: I = { `JEQX ,`LABEL_TEST_YZ_PLANE ,`R1 ,`RAY_INSIDE_BOX };
//BUG need a NOP here else pipeline gets confused
//BUG need a NOP here else pipeline gets confused
148: I = { `RETURN ,`RT_TRUE   };
147: I = { `RETURN ,`RT_TRUE   };
 
 
//LABEL_TEST_YZ_PLANE:
//LABEL_TEST_YZ_PLANE:
149: I = { `JNEX ,`LABEL_TEST_XZ_PLANE ,`R5 ,`R1 };
148: I = { `JNEX ,`LABEL_TEST_XZ_PLANE ,`R5 ,`R1 };
150: I = { `SWIZZLE3D ,`R6 ,`SWIZZLE_XXX  };
149: I = { `SWIZZLE3D ,`R6 ,`SWIZZLE_XXX  };
151: I = { `MUL ,`R2 ,`CREG_UNORMALIZED_DIRECTION ,`R6 };
150: I = { `MUL ,`R2 ,`CREG_UNORMALIZED_DIRECTION ,`R6 };
152: I = { `ADD ,`R2 ,`R2 ,`CREG_CAMERA_POSITION };
151: I = { `ADD ,`R2 ,`R2 ,`CREG_CAMERA_POSITION };
153: I = { `JGEY ,`LABEL7 ,`R2 ,`CREG_AABBMIN };
152: I = { `JGEY ,`LABEL7 ,`R2 ,`CREG_AABBMIN };
154: I = { `RETURN ,`RT_FALSE   };
153: I = { `RETURN ,`RT_FALSE   };
 
 
//LABEL7:
//LABEL7:
155: I = { `JLEY ,`LABEL8 ,`R2 ,`CREG_AABBMAX };
154: I = { `JLEY ,`LABEL8 ,`R2 ,`CREG_AABBMAX };
156: I = { `RETURN ,`RT_FALSE   };
155: I = { `RETURN ,`RT_FALSE   };
 
 
//LABEL8:
//LABEL8:
157: I = { `JGEZ ,`LABEL9 ,`R2 ,`CREG_AABBMIN };
156: I = { `JGEZ ,`LABEL9 ,`R2 ,`CREG_AABBMIN };
158: I = { `RETURN ,`RT_FALSE   };
157: I = { `RETURN ,`RT_FALSE   };
 
 
//LABEL9:
//LABEL9:
159: I = { `JLEZ ,`LABEL_TEST_XZ_PLANE ,`R2 ,`CREG_AABBMAX };
158: I = { `JLEZ ,`LABEL_TEST_XZ_PLANE ,`R2 ,`CREG_AABBMAX };
160: I = { `RETURN ,`RT_FALSE   };
159: I = { `RETURN ,`RT_FALSE   };
 
 
//LABEL_TEST_XZ_PLANE:
//LABEL_TEST_XZ_PLANE:
161: I = { `JNEY ,`LABEL_TEST_XY_PLANE ,`R5 ,`R1 };
160: I = { `JNEY ,`LABEL_TEST_XY_PLANE ,`R5 ,`R1 };
162: I = { `SWIZZLE3D ,`R6 ,`SWIZZLE_YYY  };
161: I = { `SWIZZLE3D ,`R6 ,`SWIZZLE_YYY  };
163: I = { `MUL ,`R2 ,`CREG_UNORMALIZED_DIRECTION ,`R6 };
162: I = { `MUL ,`R2 ,`CREG_UNORMALIZED_DIRECTION ,`R6 };
164: I = { `ADD ,`R2 ,`R2 ,`CREG_CAMERA_POSITION };
163: I = { `ADD ,`R2 ,`R2 ,`CREG_CAMERA_POSITION };
165: I = { `JGEX ,`LABEL10 ,`R2 ,`CREG_AABBMIN };
164: I = { `JGEX ,`LABEL10 ,`R2 ,`CREG_AABBMIN };
166: I = { `RETURN ,`RT_FALSE   };
165: I = { `RETURN ,`RT_FALSE   };
 
 
//LABEL10:
//LABEL10:
167: I = { `JLEX ,`LABEL11 ,`R2 ,`CREG_AABBMAX };
166: I = { `JLEX ,`LABEL11 ,`R2 ,`CREG_AABBMAX };
168: I = { `RETURN ,`RT_FALSE   };
167: I = { `RETURN ,`RT_FALSE   };
 
 
//LABEL11:
//LABEL11:
169: I = { `JGEZ ,`LABEL12 ,`R2 ,`CREG_AABBMIN };
168: I = { `JGEZ ,`LABEL12 ,`R2 ,`CREG_AABBMIN };
170: I = { `RETURN ,`RT_FALSE   };
169: I = { `RETURN ,`RT_FALSE   };
 
 
//LABEL12:
//LABEL12:
171: I = { `JLEZ ,`LABEL_TEST_XY_PLANE ,`R2 ,`CREG_AABBMAX };
170: I = { `JLEZ ,`LABEL_TEST_XY_PLANE ,`R2 ,`CREG_AABBMAX };
172: I = { `RETURN ,`RT_FALSE   };
171: I = { `RETURN ,`RT_FALSE   };
 
 
//LABEL_TEST_XY_PLANE:
//LABEL_TEST_XY_PLANE:
173: I = { `SWIZZLE3D ,`R6 ,`SWIZZLE_ZZZ  };
172: I = { `SWIZZLE3D ,`R6 ,`SWIZZLE_ZZZ  };
174: I = { `MUL ,`R2 ,`CREG_UNORMALIZED_DIRECTION ,`R6 };
173: I = { `MUL ,`R2 ,`CREG_UNORMALIZED_DIRECTION ,`R6 };
175: I = { `ADD ,`R2 ,`R2 ,`CREG_CAMERA_POSITION };
174: I = { `ADD ,`R2 ,`R2 ,`CREG_CAMERA_POSITION };
176: I = { `JGEX ,`LABEL13 ,`R2 ,`CREG_AABBMIN };
175: I = { `JGEX ,`LABEL13 ,`R2 ,`CREG_AABBMIN };
177: I = { `RETURN ,`RT_FALSE   };
176: I = { `RETURN ,`RT_FALSE   };
 
 
//LABEL13:
//LABEL13:
178: I = { `JLEX ,`LABEL14 ,`R2 ,`CREG_AABBMAX };
177: I = { `JLEX ,`LABEL14 ,`R2 ,`CREG_AABBMAX };
179: I = { `RETURN ,`RT_FALSE   };
178: I = { `RETURN ,`RT_FALSE   };
 
 
//LABEL14:
//LABEL14:
180: I = { `JGEY ,`LABEL15 ,`R2 ,`CREG_AABBMIN };
179: I = { `JGEY ,`LABEL15 ,`R2 ,`CREG_AABBMIN };
181: I = { `RETURN ,`RT_FALSE   };
180: I = { `RETURN ,`RT_FALSE   };
 
 
//LABEL15:
//LABEL15:
182: I = { `JLEY ,`LABEL_HIT ,`R2 ,`CREG_AABBMAX };
181: I = { `JLEY ,`LABEL_HIT ,`R2 ,`CREG_AABBMAX };
183: I = { `RETURN ,`RT_FALSE   };
182: I = { `RETURN ,`RT_FALSE   };
 
 
//LABEL_HIT:
//LABEL_HIT:
184: I = { `SETX ,`CREG_LAST_t ,32'h1F40000  };
183: I = { `SETX ,`CREG_LAST_t ,32'h1F40000  };
185: I = { `RETURN ,`RT_TRUE   };
184: I = { `RETURN ,`RT_TRUE   };
 
 
 //------------------------------------------------------------------------
 //------------------------------------------------------------------------
 //BIU Micro code
 //BIU Micro code
//TAG_BIU_UCODE_ADDRESS:
//TAG_BIU_UCODE_ADDRESS:
 
 
186: I = { `ZERO ,`OREG_PIXEL_COLOR ,`VOID ,`VOID };
185: I = { `ZERO ,`OREG_PIXEL_COLOR ,`VOID ,`VOID };
187: I = { `SETX ,`R3 ,`ONE  };
186: I = { `SETY ,`R3 ,`ONE  };
188: I = { `SETX ,`R1 ,32'h00000  };
//SETX R1 32'h00000   
189: I = { `SUB ,`CREG_E1 ,`CREG_V1 ,`CREG_V0 };
187: I = { `SUB ,`CREG_E1 ,`CREG_V1 ,`CREG_V0 };
190: I = { `SUB ,`CREG_E2 ,`CREG_V2 ,`CREG_V0 };
188: I = { `SUB ,`CREG_E2 ,`CREG_V2 ,`CREG_V0 };
191: I = { `SUB ,`CREG_T ,`CREG_CAMERA_POSITION ,`CREG_V0 };
189: I = { `SUB ,`CREG_T ,`CREG_CAMERA_POSITION ,`CREG_V0 };
192: I = { `CROSS ,`CREG_P ,`CREG_RAY_DIRECTION ,`CREG_E2 };
190: I = { `CROSS ,`CREG_P ,`CREG_RAY_DIRECTION ,`CREG_E2 };
193: I = { `CROSS ,`CREG_Q ,`CREG_T ,`CREG_E1 };
191: I = { `CROSS ,`CREG_Q ,`CREG_T ,`CREG_E1 };
194: I = { `DOT ,`CREG_H1 ,`CREG_Q ,`CREG_E2 };
 
195: I = { `DOT ,`CREG_H2 ,`CREG_P ,`CREG_T };
192: I = { `DOT ,`CREG_H1 ,`CREG_Q ,`CREG_E2 };
196: I = { `DOT ,`CREG_H3 ,`CREG_Q ,`CREG_RAY_DIRECTION };
193: I = { `DOT ,`R1 ,`CREG_P ,`CREG_T };
 
194: I = { `XCHANGEY ,`CREG_H1 ,`CREG_H1 ,`R1 };
 
195: I = { `DOT ,`R1 ,`CREG_Q ,`CREG_RAY_DIRECTION };
 
196: I = { `XCHANGEZ ,`CREG_H1 ,`CREG_H1 ,`R1 };
 
 
 
//DOT CREG_H1 CREG_Q CREG_E2  
 
//DOT CREG_H2 CREG_P CREG_T  
 
//DOT CREG_H3 CREG_Q CREG_RAY_DIRECTION  
197: I = { `DOT ,`CREG_DELTA ,`CREG_P ,`CREG_E1 };
197: I = { `DOT ,`CREG_DELTA ,`CREG_P ,`CREG_E1 };
 
 
198: I = { `DIV ,`CREG_t ,`CREG_H1 ,`CREG_DELTA };
198: I = { `DIV ,`CREG_t ,`CREG_H1 ,`CREG_DELTA };
199: I = { `DIV ,`CREG_u ,`CREG_H2 ,`CREG_DELTA };
//DIV CREG_t CREG_H1 CREG_DELTA  
200: I = { `DIV ,`CREG_v ,`CREG_H3 ,`CREG_DELTA };
//DIV CREG_u CREG_H2 CREG_DELTA  
201: I = { `JGEX ,`LABEL_BIU1 ,`CREG_u ,`R1 };
//DIV CREG_v CREG_H3 CREG_DELTA  
202: I = { `NOP ,`RT_FALSE   };
199: I = { `JGEY ,`LABEL_BIU1 ,`CREG_t ,`CREG_ZERO };
203: I = { `RET ,`R99 ,`FALSE  };
200: I = { `NOP ,`RT_FALSE   };
 
201: I = { `RET ,`R99 ,`FALSE  };
 
 
//LABEL_BIU1:
//LABEL_BIU1:
204: I = { `JGEX ,`LABEL_BIU2 ,`CREG_v ,`R1 };
//JGEX LABEL_BIU2 CREG_v R1  
205: I = { `NOP ,`RT_FALSE   };
202: I = { `JGEZ ,`LABEL_BIU2 ,`CREG_t ,`CREG_ZERO };
206: I = { `RET ,`R99 ,`FALSE  };
203: I = { `NOP ,`RT_FALSE   };
 
204: I = { `RET ,`R99 ,`FALSE  };
 
 
//LABEL_BIU2:
//LABEL_BIU2:
207: I = { `ADD ,`R2 ,`CREG_u ,`CREG_v };
//ADD R2 CREG_u CREG_v  
208: I = { `JLEX ,`LABEL_BIU3 ,`R2 ,`R3 };
205: I = { `COPY ,`R1 ,`CREG_t ,`VOID };
 
206: I = { `SWIZZLE3D ,`R1 ,`SWIZZLE_ZZZ  };
 
207: I = { `ADD ,`R2 ,`R1 ,`CREG_t };
 
208: I = { `JLEY ,`LABEL_BIU3 ,`R2 ,`R3 };
 
//JLEX LABEL_BIU3 R2 R3  
209: I = { `NOP ,`RT_FALSE   };
209: I = { `NOP ,`RT_FALSE   };
210: I = { `RET ,`R99 ,`FALSE  };
210: I = { `RET ,`R99 ,`FALSE  };
 
 
//LABEL_BIU3:
//LABEL_BIU3:
211: I = { `JGEX ,`LABEL_BIU4 ,`CREG_t ,`CREG_LAST_t };
211: I = { `JGEX ,`LABEL_BIU4 ,`CREG_t ,`CREG_LAST_t };
212: I = { `COPY ,`CREG_LAST_t ,`CREG_t ,`VOID };
212: I = { `COPY ,`CREG_LAST_t ,`CREG_t ,`VOID };
213: I = { `COPY ,`CREG_LAST_u ,`CREG_u ,`VOID };
213: I = { `SWIZZLE3D ,`CREG_LAST_t ,`SWIZZLE_XXX  };
214: I = { `COPY ,`CREG_LAST_v ,`CREG_v ,`VOID };
214: I = { `COPY ,`CREG_LAST_u ,`CREG_t ,`VOID };
215: I = { `COPY ,`CREG_E1_LAST ,`CREG_E1 ,`VOID };
215: I = { `SWIZZLE3D ,`CREG_LAST_u ,`SWIZZLE_YYY  };
216: I = { `COPY ,`CREG_E2_LAST ,`CREG_E2 ,`VOID };
216: I = { `COPY ,`CREG_LAST_v ,`CREG_t ,`VOID };
217: I = { `COPY ,`CREG_UV0_LAST ,`CREG_UV0 ,`VOID };
217: I = { `SWIZZLE3D ,`CREG_LAST_v ,`SWIZZLE_ZZZ  };
218: I = { `COPY ,`CREG_UV1_LAST ,`CREG_UV1 ,`VOID };
 
219: I = { `COPY ,`CREG_UV2_LAST ,`CREG_UV2 ,`VOID };
218: I = { `COPY ,`CREG_E1_LAST ,`CREG_E1 ,`VOID };
220: I = { `COPY ,`CREG_TRI_DIFFUSE_LAST ,`CREG_TRI_DIFFUSE ,`VOID };
219: I = { `COPY ,`CREG_E2_LAST ,`CREG_E2 ,`VOID };
221: I = { `RET ,`R99 ,`TRUE  };
220: I = { `COPY ,`CREG_UV0_LAST ,`CREG_UV0 ,`VOID };
 
221: I = { `COPY ,`CREG_UV1_LAST ,`CREG_UV1 ,`VOID };
 
222: I = { `COPY ,`CREG_UV2_LAST ,`CREG_UV2 ,`VOID };
 
223: I = { `COPY ,`CREG_TRI_DIFFUSE_LAST ,`CREG_TRI_DIFFUSE ,`VOID };
 
224: I = { `RET ,`R99 ,`TRUE  };
//LABEL_BIU4:
//LABEL_BIU4:
222: I = { `RET ,`R99 ,`FALSE  };
225: I = { `RET ,`R99 ,`FALSE  };
 
 
 
 
//-------------------------------------------------------------------------
//-------------------------------------------------------------------------
//Calculate the adress of the texure coordiantes.
//Calculate the adress of the texure coordiantes.
 
 
//TAG_TCC_UCODE_ADDRESS:
//TAG_TCC_UCODE_ADDRESS:
//Do this calculation only if this triangle is the one closest to the camera
//Do this calculation only if this triangle is the one closest to the camera
223: I = { `JGX ,`LABEL_TCC_EXIT ,`CREG_t ,`CREG_LAST_t };
226: I = { `JGX ,`LABEL_TCC_EXIT ,`CREG_t ,`CREG_LAST_t };
 
 
//First get the UV coodrinates and store in R1
//First get the UV coodrinates and store in R1
//R1x: u_coordinate = U0 + last_u * (U1 - U0) + last_v * (U2 - U0)
//R1x: u_coordinate = U0 + last_u * (U1 - U0) + last_v * (U2 - U0)
//R1y: v_coordinate = V0 + last_u * (V1 - V0) + last_v * (V2 - V0)
//R1y: v_coordinate = V0 + last_u * (V1 - V0) + last_v * (V2 - V0)
//R1z: 0
//R1z: 0
 
 
224: I = { `SUB ,`R1 ,`CREG_UV1_LAST ,`CREG_UV0_LAST };
227: I = { `SUB ,`R1 ,`CREG_UV1_LAST ,`CREG_UV0_LAST };
225: I = { `SUB ,`R2 ,`CREG_UV2_LAST ,`CREG_UV0_LAST };
228: I = { `SUB ,`R2 ,`CREG_UV2_LAST ,`CREG_UV0_LAST };
226: I = { `MUL ,`R1 ,`CREG_LAST_u ,`R1 };
229: I = { `MUL ,`R1 ,`CREG_LAST_u ,`R1 };
227: I = { `MUL ,`R2 ,`CREG_LAST_v ,`R2 };
230: I = { `MUL ,`R2 ,`CREG_LAST_v ,`R2 };
228: I = { `ADD ,`R1 ,`R1 ,`R2 };
231: I = { `ADD ,`R1 ,`R1 ,`R2 };
229: I = { `ADD ,`R1 ,`R1 ,`CREG_UV0_LAST };
232: I = { `ADD ,`R1 ,`R1 ,`CREG_UV0_LAST };
 
 
//R7x : fu = (u_coordinate) * gTexture.mWidth
//R7x : fu = (u_coordinate) * gTexture.mWidth
//R7y : fv = (v_coordinate) * gTexture.mWidth
//R7y : fv = (v_coordinate) * gTexture.mWidth
//R7z : 0
//R7z : 0
230: I = { `MUL ,`R7 ,`R1 ,`CREG_TEXTURE_SIZE };
233: I = { `MUL ,`R7 ,`R1 ,`CREG_TEXTURE_SIZE };
 
 
//R1x: u1 = ((int)fu) % gTexture.mWidth
//R1x: u1 = ((int)fu) % gTexture.mWidth
//R1y: v1 = ((int)fv) % gTexture.mHeight
//R1y: v1 = ((int)fv) % gTexture.mHeight
//R1z: 0
//R1z: 0
//R2x: u2 = (u1 + 1 ) % gTexture.mWidth
//R2x: u2 = (u1 + 1 ) % gTexture.mWidth
Line 522... Line 538...
// Notice MOD2 only operates over
// Notice MOD2 only operates over
// numbers that are power of 2 also notice that the
// numbers that are power of 2 also notice that the
// textures are assumed to be squares!
// textures are assumed to be squares!
//x % 2^n == x & (2^n - 1).
//x % 2^n == x & (2^n - 1).
 
 
231: I = { `MOD ,`R1 ,`R7 ,`CREG_TEXTURE_SIZE };
234: I = { `MOD ,`R1 ,`R7 ,`CREG_TEXTURE_SIZE };
232: I = { `INC ,`R2 ,`R1 ,`VOID };
235: I = { `INC ,`R2 ,`R1 ,`VOID };
233: I = { `MOD ,`R2 ,`R2 ,`CREG_TEXTURE_SIZE };
236: I = { `MOD ,`R2 ,`R2 ,`CREG_TEXTURE_SIZE };
 
 
//Cool now we should store the values in the appropiate registers
//Cool now we should store the values in the appropiate registers
//OREG_TEX_COORD1.x = u1 + v1 * gTexture.mWidth
//OREG_TEX_COORD1.x = u1 + v1 * gTexture.mWidth
//OREG_TEX_COORD1.y = u2 + v1 * gTexture.mWidth
//OREG_TEX_COORD1.y = u2 + v1 * gTexture.mWidth
//OREG_TEX_COORD1.z = 0
//OREG_TEX_COORD1.z = 0
Line 538... Line 554...
 
 
//R1= [u1 v1 0]
//R1= [u1 v1 0]
//R2= [u2 v2 0]
//R2= [u2 v2 0]
 
 
//R2 = [v2 u2 0]
//R2 = [v2 u2 0]
234: I = { `SWIZZLE3D ,`R2 ,`SWIZZLE_YXZ  };
237: I = { `SWIZZLE3D ,`R2 ,`SWIZZLE_YXZ  };
 
 
//R3 = [v2 v1 0]
//R3 = [v2 v1 0]
235: I = { `XCHANGEX ,`R3 ,`R1 ,`R2 };
238: I = { `XCHANGEX ,`R3 ,`R1 ,`R2 };
 
 
 
 
//R4 = [u1 u2 0]
//R4 = [u1 u2 0]
236: I = { `XCHANGEX ,`R4 ,`R2 ,`R1 };
239: I = { `XCHANGEX ,`R4 ,`R2 ,`R1 };
 
 
//R2 = [v2*H v1*H 0]
//R2 = [v2*H v1*H 0]
237: I = { `UNSCALE ,`R9 ,`R3 ,`VOID };
240: I = { `UNSCALE ,`R9 ,`R3 ,`VOID };
238: I = { `UNSCALE ,`R8 ,`CREG_TEXTURE_SIZE ,`VOID };
241: I = { `UNSCALE ,`R8 ,`CREG_TEXTURE_SIZE ,`VOID };
239: I = { `IMUL ,`R2 ,`R9 ,`R8 };
242: I = { `IMUL ,`R2 ,`R9 ,`R8 };
 
 
//OREG_TEX_COORD1 = [u1 + v2*H u2 + v1*H 0]
//OREG_TEX_COORD1 = [u1 + v2*H u2 + v1*H 0]
//R4 = FixedToIinteger(R4)
//R4 = FixedToIinteger(R4)
240: I = { `UNSCALE ,`R4 ,`R4 ,`VOID };
243: I = { `UNSCALE ,`R4 ,`R4 ,`VOID };
241: I = { `ADD ,`R12 ,`R2 ,`R4 };
244: I = { `ADD ,`R12 ,`R2 ,`R4 };
242: I = { `SETX ,`R5 ,32'h3  };
245: I = { `SETX ,`R5 ,32'h3  };
243: I = { `SETY ,`R5 ,32'h3  };
246: I = { `SETY ,`R5 ,32'h3  };
244: I = { `SETZ ,`R5 ,32'h3  };
247: I = { `SETZ ,`R5 ,32'h3  };
//Multiply by 3 (the pitch)
//Multiply by 3 (the pitch)
//IMUL OREG_TEX_COORD1 R12 R5  
//IMUL OREG_TEX_COORD1 R12 R5  
245: I = { `IMUL ,`CREG_TEX_COORD1 ,`R12 ,`R5 };
248: I = { `IMUL ,`CREG_TEX_COORD1 ,`R12 ,`R5 };
 
 
//R4 = [u2 u1 0]
//R4 = [u2 u1 0]
246: I = { `SWIZZLE3D ,`R4 ,`SWIZZLE_YXZ  };
249: I = { `SWIZZLE3D ,`R4 ,`SWIZZLE_YXZ  };
 
 
 
 
//OREG_TEX_COORD2 [u2 + v2*H u1 + v1*H 0]
//OREG_TEX_COORD2 [u2 + v2*H u1 + v1*H 0]
247: I = { `ADD ,`R12 ,`R2 ,`R4 };
250: I = { `ADD ,`R12 ,`R2 ,`R4 };
//Multiply by 3 (the pitch)
//Multiply by 3 (the pitch)
//IMUL OREG_TEX_COORD2 R12 R5  
//IMUL OREG_TEX_COORD2 R12 R5  
248: I = { `IMUL ,`CREG_TEX_COORD2 ,`R12 ,`R5 };
251: I = { `IMUL ,`CREG_TEX_COORD2 ,`R12 ,`R5 };
 
 
 
 
//Cool now get the weights
//Cool now get the weights
 
 
//w1 = (1 - fracu) * (1 - fracv)
//w1 = (1 - fracu) * (1 - fracv)
Line 584... Line 600...
//w4 = fracu *  fracv
//w4 = fracu *  fracv
 
 
//R4x: fracu 
//R4x: fracu 
//R4y: fracv 
//R4y: fracv 
//R4z: 0
//R4z: 0
249: I = { `FRAC ,`R4 ,`R7 ,`VOID };
252: I = { `FRAC ,`R4 ,`R7 ,`VOID };
 
 
//R5x: fracv 
//R5x: fracv 
//R5y: fracu 
//R5y: fracu 
//R5z: 0 
//R5z: 0 
250: I = { `COPY ,`R5 ,`R4 ,`VOID };
253: I = { `COPY ,`R5 ,`R4 ,`VOID };
251: I = { `SWIZZLE3D ,`R5 ,`SWIZZLE_YXZ  };
254: I = { `SWIZZLE3D ,`R5 ,`SWIZZLE_YXZ  };
 
 
 
 
//R5x: 1 - fracv 
//R5x: 1 - fracv 
//R5y: 1 - fracu 
//R5y: 1 - fracu 
//R5y: 1
//R5y: 1
252: I = { `NEG ,`R5 ,`R5 ,`VOID };
255: I = { `NEG ,`R5 ,`R5 ,`VOID };
253: I = { `INC ,`R5 ,`R5 ,`VOID };
256: I = { `INC ,`R5 ,`R5 ,`VOID };
 
 
//R5x: 1 - fracv 
//R5x: 1 - fracv 
//R5y: 1 - fracu 
//R5y: 1 - fracu 
//R5y: (1 - fracv)(1 - fracu) 
//R5y: (1 - fracv)(1 - fracu) 
254: I = { `MULP ,`CREG_TEXWEIGHT1 ,`R5 ,`VOID };
257: I = { `MULP ,`CREG_TEXWEIGHT1 ,`R5 ,`VOID };
 
 
//CREG_TEXWEIGHT1.x = (1 - fracv)(1 - fracu) 
//CREG_TEXWEIGHT1.x = (1 - fracv)(1 - fracu) 
//CREG_TEXWEIGHT1.y = (1 - fracv)(1 - fracu) 
//CREG_TEXWEIGHT1.y = (1 - fracv)(1 - fracu) 
//CREG_TEXWEIGHT1.z = (1 - fracv)(1 - fracu) 
//CREG_TEXWEIGHT1.z = (1 - fracv)(1 - fracu) 
255: I = { `SWIZZLE3D ,`CREG_TEXWEIGHT1 ,`SWIZZLE_ZZZ  };
258: I = { `SWIZZLE3D ,`CREG_TEXWEIGHT1 ,`SWIZZLE_ZZZ  };
 
 
 
 
//R6x: w2: fracu * (1 - fracv )
//R6x: w2: fracu * (1 - fracv )
//R6y: w3: fracv * (1 - fracu )
//R6y: w3: fracv * (1 - fracu )
//R6z: 0
//R6z: 0
256: I = { `MUL ,`R6 ,`R4 ,`R5 };
259: I = { `MUL ,`R6 ,`R4 ,`R5 };
 
 
//CREG_TEXWEIGHT2.x = fracu * (1 - fracv )
//CREG_TEXWEIGHT2.x = fracu * (1 - fracv )
//CREG_TEXWEIGHT2.y = fracu * (1 - fracv )
//CREG_TEXWEIGHT2.y = fracu * (1 - fracv )
//CREG_TEXWEIGHT2.z = fracu * (1 - fracv )
//CREG_TEXWEIGHT2.z = fracu * (1 - fracv )
257: I = { `COPY ,`CREG_TEXWEIGHT2 ,`R6 ,`VOID };
260: I = { `COPY ,`CREG_TEXWEIGHT2 ,`R6 ,`VOID };
258: I = { `SWIZZLE3D ,`CREG_TEXWEIGHT2 ,`SWIZZLE_XXX  };
261: I = { `SWIZZLE3D ,`CREG_TEXWEIGHT2 ,`SWIZZLE_XXX  };
 
 
//CREG_TEXWEIGHT3.x = fracv * (1 - fracu )
//CREG_TEXWEIGHT3.x = fracv * (1 - fracu )
//CREG_TEXWEIGHT3.y = fracv * (1 - fracu )
//CREG_TEXWEIGHT3.y = fracv * (1 - fracu )
//CREG_TEXWEIGHT3.z = fracv * (1 - fracu )
//CREG_TEXWEIGHT3.z = fracv * (1 - fracu )
259: I = { `COPY ,`CREG_TEXWEIGHT3 ,`R6 ,`VOID };
262: I = { `COPY ,`CREG_TEXWEIGHT3 ,`R6 ,`VOID };
260: I = { `SWIZZLE3D ,`CREG_TEXWEIGHT3 ,`SWIZZLE_YYY  };
263: I = { `SWIZZLE3D ,`CREG_TEXWEIGHT3 ,`SWIZZLE_YYY  };
 
 
 
 
//R4x: fracu
//R4x: fracu
//R4y: fracv
//R4y: fracv
//R4z: fracu * fracv
//R4z: fracu * fracv
261: I = { `MULP ,`R4 ,`R4 ,`VOID };
264: I = { `MULP ,`R4 ,`R4 ,`VOID };
 
 
//CREG_TEXWEIGHT4.x = fracv * fracu 
//CREG_TEXWEIGHT4.x = fracv * fracu 
//CREG_TEXWEIGHT4.y = fracv * fracu 
//CREG_TEXWEIGHT4.y = fracv * fracu 
//CREG_TEXWEIGHT4.z = fracv * fracu 
//CREG_TEXWEIGHT4.z = fracv * fracu 
262: I = { `COPY ,`CREG_TEXWEIGHT4 ,`R4 ,`VOID };
265: I = { `COPY ,`CREG_TEXWEIGHT4 ,`R4 ,`VOID };
263: I = { `SWIZZLE3D ,`CREG_TEXWEIGHT4 ,`SWIZZLE_ZZZ  };
266: I = { `SWIZZLE3D ,`CREG_TEXWEIGHT4 ,`SWIZZLE_ZZZ  };
 
 
 
 
//LABEL_TCC_EXIT:
//LABEL_TCC_EXIT:
264: I = { `RET ,`R99 ,32'h0  };
267: I = { `RET ,`R99 ,32'h0  };
 
 
 
 
//-------------------------------------------------------------------------
//-------------------------------------------------------------------------
//TAG_PSU_UCODE_ADRESS:
//TAG_PSU_UCODE_ADRESS:
//Pixel Shader #1
//Pixel Shader #1
//This pixel shader has diffuse light but no textures
//This pixel shader has diffuse light but no textures
 
 
 
 
265: I = { `CROSS ,`R1 ,`CREG_E1_LAST ,`CREG_E2_LAST };
268: I = { `CROSS ,`R1 ,`CREG_E1_LAST ,`CREG_E2_LAST };
266: I = { `MAG ,`R2 ,`R1 ,`VOID };
269: I = { `MAG ,`R2 ,`R1 ,`VOID };
267: I = { `DIV ,`R1 ,`R1 ,`R2 };
270: I = { `DIV ,`R1 ,`R1 ,`R2 };
268: I = { `MUL ,`R2 ,`CREG_RAY_DIRECTION ,`CREG_LAST_t };
271: I = { `MUL ,`R2 ,`CREG_RAY_DIRECTION ,`CREG_LAST_t };
269: I = { `ADD ,`R2 ,`R2 ,`CREG_CAMERA_POSITION };
272: I = { `ADD ,`R2 ,`R2 ,`CREG_CAMERA_POSITION };
270: I = { `SUB ,`R2 ,`CURRENT_LIGHT_POS ,`R2 };
273: I = { `SUB ,`R2 ,`CURRENT_LIGHT_POS ,`R2 };
271: I = { `MAG ,`R3 ,`R2 ,`VOID };
274: I = { `MAG ,`R3 ,`R2 ,`VOID };
272: I = { `DIV ,`R2 ,`R2 ,`R3 };
275: I = { `DIV ,`R2 ,`R2 ,`R3 };
273: I = { `DOT ,`R3 ,`R2 ,`R1 };
276: I = { `DOT ,`R3 ,`R2 ,`R1 };
274: I = { `MUL ,`CREG_COLOR_ACC ,`CREG_TRI_DIFFUSE_LAST ,`CURRENT_LIGHT_DIFFUSE };
277: I = { `MUL ,`CREG_COLOR_ACC ,`CREG_TRI_DIFFUSE_LAST ,`CURRENT_LIGHT_DIFFUSE };
275: I = { `MUL ,`CREG_COLOR_ACC ,`CREG_COLOR_ACC ,`R3 };
278: I = { `MUL ,`CREG_COLOR_ACC ,`CREG_COLOR_ACC ,`R3 };
276: I = { `COPY ,`CREG_TEXTURE_COLOR ,`CREG_COLOR_ACC ,`VOID };
279: I = { `COPY ,`CREG_TEXTURE_COLOR ,`CREG_COLOR_ACC ,`VOID };
277: I = { `NOP ,`RT_FALSE   };
280: I = { `NOP ,`RT_FALSE   };
278: I = { `NOP ,`RT_FALSE   };
281: I = { `NOP ,`RT_FALSE   };
279: I = { `NOP ,`RT_FALSE   };
282: I = { `NOP ,`RT_FALSE   };
280: I = { `RET ,`R99 ,`TRUE  };
283: I = { `RET ,`R99 ,`TRUE  };
 
 
//-------------------------------------------------------------------------
//-------------------------------------------------------------------------
//Pixel Shader #2
//Pixel Shader #2
//TAG_PSU_UCODE_ADRESS2:
//TAG_PSU_UCODE_ADRESS2:
//This Pixel Shader has no light but it does texturinng 
//This Pixel Shader has no light but it does texturinng 
//with bi-linear interpolation
//with bi-linear interpolation
 
 
 
 
 
 
281: I = { `COPY ,`R1 ,`CREG_TEX_COORD1 ,`VOID };
284: I = { `COPY ,`R1 ,`CREG_TEX_COORD1 ,`VOID };
282: I = { `COPY ,`R2 ,`CREG_TEX_COORD1 ,`VOID };
285: I = { `COPY ,`R2 ,`CREG_TEX_COORD1 ,`VOID };
283: I = { `COPY ,`R3 ,`CREG_TEX_COORD2 ,`VOID };
286: I = { `COPY ,`R3 ,`CREG_TEX_COORD2 ,`VOID };
284: I = { `COPY ,`R4 ,`CREG_TEX_COORD2 ,`VOID };
287: I = { `COPY ,`R4 ,`CREG_TEX_COORD2 ,`VOID };
 
 
 
 
285: I = { `SWIZZLE3D ,`R1 ,`SWIZZLE_XXX  };
288: I = { `SWIZZLE3D ,`R1 ,`SWIZZLE_XXX  };
286: I = { `SWIZZLE3D ,`R2 ,`SWIZZLE_YYY  };
289: I = { `SWIZZLE3D ,`R2 ,`SWIZZLE_YYY  };
287: I = { `SWIZZLE3D ,`R3 ,`SWIZZLE_XXX  };
290: I = { `SWIZZLE3D ,`R3 ,`SWIZZLE_XXX  };
288: I = { `SWIZZLE3D ,`R4 ,`SWIZZLE_YYY  };
291: I = { `SWIZZLE3D ,`R4 ,`SWIZZLE_YYY  };
289: I = { `ADD ,`R1 ,`R1 ,`CREG_012 };
292: I = { `ADD ,`R1 ,`R1 ,`CREG_012 };
290: I = { `ADD ,`R2 ,`R2 ,`CREG_012 };
293: I = { `ADD ,`R2 ,`R2 ,`CREG_012 };
291: I = { `ADD ,`R3 ,`R3 ,`CREG_012 };
294: I = { `ADD ,`R3 ,`R3 ,`CREG_012 };
292: I = { `ADD ,`R4 ,`R4 ,`CREG_012 };
295: I = { `ADD ,`R4 ,`R4 ,`CREG_012 };
 
 
 
 
293: I = { `TMREAD ,`CREG_TEX_COLOR1 ,`R1 ,`VOID };
296: I = { `TMREAD ,`CREG_TEX_COLOR1 ,`R1 ,`VOID };
294: I = { `NOP ,`RT_FALSE   };
297: I = { `NOP ,`RT_FALSE   };
295: I = { `TMREAD ,`CREG_TEX_COLOR2 ,`R2 ,`VOID };
298: I = { `TMREAD ,`CREG_TEX_COLOR2 ,`R2 ,`VOID };
296: I = { `NOP ,`RT_FALSE   };
299: I = { `NOP ,`RT_FALSE   };
297: I = { `TMREAD ,`CREG_TEX_COLOR3 ,`R3 ,`VOID };
300: I = { `TMREAD ,`CREG_TEX_COLOR3 ,`R3 ,`VOID };
298: I = { `NOP ,`RT_FALSE   };
301: I = { `NOP ,`RT_FALSE   };
299: I = { `TMREAD ,`CREG_TEX_COLOR4 ,`R4 ,`VOID };
302: I = { `TMREAD ,`CREG_TEX_COLOR4 ,`R4 ,`VOID };
300: I = { `NOP ,`RT_FALSE   };
303: I = { `NOP ,`RT_FALSE   };
 
 
 
 
 
 
 
 
//TextureColor.R = c1.R * w1 + c2.R * w2 + c3.R * w3 + c4.R * w4
//TextureColor.R = c1.R * w1 + c2.R * w2 + c3.R * w3 + c4.R * w4
Line 713... Line 729...
//MUL R1 CREG_TEX_COLOR4 CREG_TEXWEIGHT1  
//MUL R1 CREG_TEX_COLOR4 CREG_TEXWEIGHT1  
//MUL R2 CREG_TEX_COLOR2 CREG_TEXWEIGHT2  
//MUL R2 CREG_TEX_COLOR2 CREG_TEXWEIGHT2  
//MUL R3 CREG_TEX_COLOR1 CREG_TEXWEIGHT3  
//MUL R3 CREG_TEX_COLOR1 CREG_TEXWEIGHT3  
//MUL R4 CREG_TEX_COLOR3 CREG_TEXWEIGHT4  
//MUL R4 CREG_TEX_COLOR3 CREG_TEXWEIGHT4  
 
 
301: I = { `MUL ,`R1 ,`CREG_TEX_COLOR3 ,`CREG_TEXWEIGHT1 };
304: I = { `MUL ,`R1 ,`CREG_TEX_COLOR3 ,`CREG_TEXWEIGHT1 };
302: I = { `MUL ,`R2 ,`CREG_TEX_COLOR2 ,`CREG_TEXWEIGHT2 };
305: I = { `MUL ,`R2 ,`CREG_TEX_COLOR2 ,`CREG_TEXWEIGHT2 };
303: I = { `MUL ,`R3 ,`CREG_TEX_COLOR1 ,`CREG_TEXWEIGHT3 };
306: I = { `MUL ,`R3 ,`CREG_TEX_COLOR1 ,`CREG_TEXWEIGHT3 };
304: I = { `MUL ,`R4 ,`CREG_TEX_COLOR4 ,`CREG_TEXWEIGHT4 };
307: I = { `MUL ,`R4 ,`CREG_TEX_COLOR4 ,`CREG_TEXWEIGHT4 };
 
 
305: I = { `ADD ,`CREG_TEXTURE_COLOR ,`R1 ,`R2 };
308: I = { `ADD ,`CREG_TEXTURE_COLOR ,`R1 ,`R2 };
306: I = { `ADD ,`CREG_TEXTURE_COLOR ,`CREG_TEXTURE_COLOR ,`R3 };
309: I = { `ADD ,`CREG_TEXTURE_COLOR ,`CREG_TEXTURE_COLOR ,`R3 };
307: I = { `ADD ,`CREG_TEXTURE_COLOR ,`CREG_TEXTURE_COLOR ,`R4 };
310: I = { `ADD ,`CREG_TEXTURE_COLOR ,`CREG_TEXTURE_COLOR ,`R4 };
308: I = { `RET ,`R99 ,`TRUE  };
311: I = { `RET ,`R99 ,`TRUE  };
 
 
 
 
//-------------------------------------------------------------------------
//-------------------------------------------------------------------------
//Default User constants
//Default User constants
//TAG_USERCONSTANTS:
//TAG_USERCONSTANTS:
 
 
309: I = { `NOP ,`RT_FALSE   };
312: I = { `NOP ,`RT_FALSE   };
310: I = { `RETURN ,`RT_FALSE   };
313: I = { `RETURN ,`RT_FALSE   };
 
 
//TAG_PIXELSHADER:
//TAG_PIXELSHADER:
//Default Pixel Shader (just outputs texture)
//Default Pixel Shader (just outputs texture)
311: I = { `OMWRITE ,`OREG_PIXEL_COLOR ,`CREG_CURRENT_OUTPUT_PIXEL ,`CREG_TEXTURE_COLOR };
314: I = { `OMWRITE ,`OREG_PIXEL_COLOR ,`CREG_CURRENT_OUTPUT_PIXEL ,`CREG_TEXTURE_COLOR };
312: I = { `NOP ,`RT_FALSE   };
315: I = { `NOP ,`RT_FALSE   };
313: I = { `RET ,`R99 ,`TRUE  };
316: I = { `RET ,`R99 ,`TRUE  };
314: I = { `NOP ,`RT_FALSE   };
317: I = { `NOP ,`RT_FALSE   };
 
 
 
 
 
 
//-------------------------------------------------------------------------             
//-------------------------------------------------------------------------             
 
 
 
 

powered by: WebSVN 2.1.0

© copyright 1999-2024 OpenCores.org, equivalent to Oliscience, all rights reserved. OpenCores®, registered trademark.