OpenCores
URL https://opencores.org/ocsvn/theia_gpu/theia_gpu/trunk

Subversion Repositories theia_gpu

[/] [theia_gpu/] [branches/] [icarus_version/] [rtl/] [Theia_Core.v] - Diff between revs 166 and 174

Go to most recent revision | Show entire file | Details | Blame | View Log

Rev 166 Rev 174
Line 45... Line 45...
        Please see the IO unit chapter in the documentation for more details.
        Please see the IO unit chapter in the documentation for more details.
**********************************************************************************/
**********************************************************************************/
 
 
`timescale 1ns / 1ps
`timescale 1ns / 1ps
`include "aDefinitions.v"
`include "aDefinitions.v"
 
`ifdef VERILATOR
 
`include "Unit_Control.v"
 
`include "Unit_MEM.v"
 
`include "Unit_EXE.v"
 
`include "Unit_IO.v"
 
`endif
 
 
module THEIACORE
module THEIACORE
(
(
 
 
input wire                    CLK_I,    //Input clock
input wire                    CLK_I,    //Input clock

powered by: WebSVN 2.1.0

© copyright 1999-2024 OpenCores.org, equivalent to Oliscience, all rights reserved. OpenCores®, registered trademark.