OpenCores
URL https://opencores.org/ocsvn/theia_gpu/theia_gpu/trunk

Subversion Repositories theia_gpu

[/] [theia_gpu/] [branches/] [icarus_version/] [rtl/] [Unit_IO.v] - Diff between revs 166 and 174

Go to most recent revision | Show entire file | Details | Blame | View Log

Rev 166 Rev 174
Line 1... Line 1...
`timescale 1ns / 1ps
`timescale 1ns / 1ps
`include "aDefinitions.v"
`include "aDefinitions.v"
 
`ifdef VERILATOR
 
`include "Module_MEM2WBM.v"
 
`include "Module_OMemInterface.v"
 
`include "Module_TMemInterface.v"
 
`include "Module_WishBoneMaster.v"
 
`include "Module_WishBoneSlave.v"
 
`endif
`define ADR_IMM 1
`define ADR_IMM 1
`define ADR_POINTER 0
`define ADR_POINTER 0
/**********************************************************************************
/**********************************************************************************
Theia, Ray Cast Programable graphic Processing Unit.
Theia, Ray Cast Programable graphic Processing Unit.
Copyright (C) 2010  Diego Valverde (diego.valverde.g@gmail.com)
Copyright (C) 2010  Diego Valverde (diego.valverde.g@gmail.com)
Line 315... Line 322...
//------------------------------------------------------------------------------
//------------------------------------------------------------------------------
 
 
 
 
endmodule
endmodule
//--------------------------------------------------------------------------
//--------------------------------------------------------------------------
 No newline at end of file
 No newline at end of file
 
 
 No newline at end of file
 No newline at end of file

powered by: WebSVN 2.1.0

© copyright 1999-2024 OpenCores.org, equivalent to Oliscience, all rights reserved. OpenCores®, registered trademark.