OpenCores
URL https://opencores.org/ocsvn/theia_gpu/theia_gpu/trunk

Subversion Repositories theia_gpu

[/] [theia_gpu/] [branches/] [icarus_version/] [rtl/] [top.v] - Diff between revs 173 and 178

Show entire file | Details | Blame | View Log

Rev 173 Rev 178
Line 54... Line 54...
input wire [`MAX_TMEM_BANKS-1:0]  iTMEM_Sel,
input wire [`MAX_TMEM_BANKS-1:0]  iTMEM_Sel,
input wire  [`MAX_CORE_BITS-1:0]  iOMEMBankSelect,
input wire  [`MAX_CORE_BITS-1:0]  iOMEMBankSelect,
input  wire [`WB_WIDTH-1:0]       iOMEMReadAddress,
input  wire [`WB_WIDTH-1:0]       iOMEMReadAddress,
output wire [`WB_WIDTH-1:0]       oOMEMData,   //Output data bus (Wishbone)
output wire [`WB_WIDTH-1:0]       oOMEMData,   //Output data bus (Wishbone)
`ifndef NO_DISPLAY_STATS
`ifndef NO_DISPLAY_STATS
        input wire [`WIDTH-1:0] iDebugWidth,
        input wire [`WIDTH-1:0] iWidth,iHeight,
`endif
`endif
output wire                       oDone
output wire                       oDone
 
 
 
 
);
);
 
wire wHost_2__RenderDone;
 
assign oDone = wHost_2__RenderDone;
 
 
assign oMemSelect =  wMemSelect;
assign oMemSelect =  wMemSelect;
 
 
 wire [`WB_WIDTH-1:0]       wHost_2__DAT_O;
 wire [`WB_WIDTH-1:0]       wHost_2__DAT_O;
 reg                        wHost_2__ACK;
 reg                        wHost_2__ACK;
Line 81... Line 83...
 wire                       wHost_2__STDONE;
 wire                       wHost_2__STDONE;
 wire                       wGPUCommitedResults;
 wire                       wGPUCommitedResults;
 wire                       wHostDataAvailable;
 wire                       wHostDataAvailable;
 wire                       wHost_2__CYC_O,wHost_2__GACK_O,TGC_O,wHost_2__STB_O;
 wire                       wHost_2__CYC_O,wHost_2__GACK_O,TGC_O,wHost_2__STB_O;
 
 
assign oDone = wGPU_2_HOST_Done;
//assign oDone = wGPU_2_HOST_Done;
 
 
THEIA GPU
THEIA GPU
  (
  (
  .CLK_I(     Clock              ),
  .CLK_I(     Clock              ),
  .RST_I(     Reset              ),
  .RST_I(     Reset              ),
Line 144... Line 146...
 .iMemorySize(            iMemorySize          ),
 .iMemorySize(            iMemorySize          ),
 .iPrimitiveCount(        iPrimitiveCount      ),
 .iPrimitiveCount(        iPrimitiveCount      ),
 .iGPUCommitedResults(    wGPUCommitedResults  ),
 .iGPUCommitedResults(    wGPUCommitedResults  ),
 .STDONE_O(               wHost_2__STDONE      ),
 .STDONE_O(               wHost_2__STDONE      ),
 .iGPUDone(               wGPU_2_HOST_Done     ),
 .iGPUDone(               wGPU_2_HOST_Done     ),
 
 .oRenderDone(            wHost_2__RenderDone  ),
 
 
`ifndef NO_DISPLAY_STATS
 
 .iDebugWidth(iDebugWidth),
 .iWidth(iWidth),
`endif
 .iHeight(iHeight),
 
 
 //To Memory
 //To Memory
.oReadAddress( oHostReadAddress ),
.oReadAddress( oHostReadAddress ),
.iReadData(    wHostReadData ),
.iReadData(    wHostReadData ),
 
 

powered by: WebSVN 2.1.0

© copyright 1999-2024 OpenCores.org, equivalent to Oliscience, all rights reserved. OpenCores®, registered trademark.