OpenCores
URL https://opencores.org/ocsvn/theia_gpu/theia_gpu/trunk

Subversion Repositories theia_gpu

[/] [theia_gpu/] [branches/] [icarus_version/] [scripts/] [configure_params.pl] - Diff between revs 169 and 195

Go to most recent revision | Show entire file | Details | Blame | View Log

Rev 169 Rev 195
Line 40... Line 40...
{
{
        print $array[5];
        print $array[5];
        $array[5] =~ m/(\w+)\s+(\w+).*/g;
        $array[5] =~ m/(\w+)\s+(\w+).*/g;
        $Widht = hex($1) / (2 ** $Scale);
        $Widht = hex($1) / (2 ** $Scale);
        $Height = hex($2) / (2 ** $Scale)
        $Height = hex($2) / (2 ** $Scale)
 
} else {
 
 
 
        $array[5] = hex($Widht*2)."0000 ".hex($Height*2)."0000 0";
 
 
}
}
 
 
print
print
"
"
Scene resolution: $Widht x $Height
Scene resolution: $Widht x $Height

powered by: WebSVN 2.1.0

© copyright 1999-2024 OpenCores.org, equivalent to Oliscience, all rights reserved. OpenCores®, registered trademark.