OpenCores
URL https://opencores.org/ocsvn/tiny_aes/tiny_aes/trunk

Subversion Repositories tiny_aes

[/] [tiny_aes/] [trunk/] [testbench/] [test_aes_192.v] - Diff between revs 6 and 9

Show entire file | Details | Blame | View Log

Rev 6 Rev 9
Line 38... Line 38...
                clk = 0;
                clk = 0;
                state = 0;
                state = 0;
                key = 0;
                key = 0;
 
 
                #100;
                #100;
 
        /*
 
         * TIMEGRP "key" OFFSET = IN 6.4 ns VALID 6 ns AFTER "clk" HIGH;
 
         * TIMEGRP "state" OFFSET = IN 6.4 ns VALID 6 ns AFTER "clk" HIGH;
 
         * TIMEGRP "out" OFFSET = OUT 2.2 ns BEFORE "clk" HIGH;
 
         */
        @ (negedge clk);
        @ (negedge clk);
 
        #2;
        state = 128'h3243f6a8885a308d313198a2e0370734;
        state = 128'h3243f6a8885a308d313198a2e0370734;
        key   = 192'h2b7e151628aed2a6abf7158809cf4f3c762e7160f38b4da5;
        key   = 192'h2b7e151628aed2a6abf7158809cf4f3c762e7160f38b4da5;
        #10;
        #10;
        state = 128'h00112233445566778899aabbccddeeff;
        state = 128'h00112233445566778899aabbccddeeff;
        key   = 192'h000102030405060708090a0b0c0d0e0f1011121314151617;
        key   = 192'h000102030405060708090a0b0c0d0e0f1011121314151617;

powered by: WebSVN 2.1.0

© copyright 1999-2024 OpenCores.org, equivalent to Oliscience, all rights reserved. OpenCores®, registered trademark.