OpenCores
URL https://opencores.org/ocsvn/tiny_tate_bilinear_pairing/tiny_tate_bilinear_pairing/trunk

Subversion Repositories tiny_tate_bilinear_pairing

[/] [tiny_tate_bilinear_pairing/] [trunk/] [group_size_is_151_bits/] [testbench/] [test_pairing.v] - Diff between revs 10 and 14

Go to most recent revision | Show entire file | Details | Blame | View Log

Rev 10 Rev 14
Line 51... Line 51...
 
 
                // Add stimulus here
                // Add stimulus here
        /* keep FSM silent */
        /* keep FSM silent */
        reset = 1;
        reset = 1;
            /* init xp, yp, xq, yq */
            /* init xp, yp, xq, yq */
            write(3, 194'h288162298554054820552a05426081a1842886a58916a6249);
            write(3, 194'h21181940120548aa020568aa65a5989609251595a89a44598);
            write(5, 194'h2895955069089214054596a189a4420556589054140941695);
            write(5, 194'h0a905590506a8a845592a09644a2095291422910a968a5048);
            write(6, 194'h288162298554054820552a05426081a1842886a58916a6249);
            write(6, 194'h21181940120548aa020568aa65a5989609251595a89a44598);
            write(7, 194'h2895955069089214054596a189a4420556589054140941695);
            write(7, 194'h0a905590506a8a845592a09644a2095291422910a968a5048);
            /* read back. uncomment me if error happens */
            /* read back. uncomment me if error happens */
            /* read(3);
            /* read(3);
            $display("xp = %h", out);
            $display("xp = %h", out);
            read(5);
            read(5);
            $display("yp = %h", out);
            $display("yp = %h", out);
Line 70... Line 70...
 
 
        sel = 0; w = 0;
        sel = 0; w = 0;
        @(posedge done);
        @(posedge done);
        @(negedge clk);
        @(negedge clk);
            read(3);
            read(3);
            check(194'h288162298554054820552a05426081a1842886a58916a624a);
            check(194'h21181940120548aa020568aa65a5989609251595a89a44599);
            read(5);
            read(5);
            check(194'h146a6aa0960461280a8a69524658810aa9a460a828068296a);
            check(194'h0560aa60a0954548aa615069885106a16281162056945a084);
            read(6);
            read(6);
            check(194'h288162298554054820552a05426081a1842886a58916a6249);
            check(194'h21181940120548aa020568aa65a5989609251595a89a44598);
            read(7);
            read(7);
            check(194'h2895955069089214054596a189a4420556589054140941695);
            check(194'h0a905590506a8a845592a09644a2095291422910a968a5048);
            read(9);
            read(9);
            check(194'h0580908654985206a92415296589411858a9211984160a180);
            check(194'h09a49266428495042842965645266a2164a1268408a669866);
            read(10);
            read(10);
            check(194'h0501a2129024a92511058540424059509a55982a065252924);
            check(194'h204446152452400968480544296829199a169a2562a908520);
            read(11);
            read(11);
            check(194'h06624689a2149059841a814409946196a92a06595029a2994);
            check(194'h1699142918666651a156954a80544689590a5094624610281);
            read(12);
            read(12);
            check(194'h2a10a642a56aa9a26458a801285221820aa98226402100889);
            check(194'h2461998924145511611291626a4a295888569280285884661);
            read(13);
            read(13);
            check(194'h06a99a1556a662900898a49026640509924a1210121809886);
            check(194'h1040525045a404150a1881aa91a99156660a1658a090a1091);
            read(14);
            read(14);
            check(194'h2a88582860a80605825150584a8a8099491029242961a5685);
            check(194'h2400a94249694808254880924a06494816081900811198925);
            $display("Good");
            $display("Good");
        $finish;
        $finish;
        end
        end
 
 
    initial #100 forever #(`P/2) clk = ~clk;
    initial #100 forever #(`P/2) clk = ~clk;

powered by: WebSVN 2.1.0

© copyright 1999-2024 OpenCores.org, equivalent to Oliscience, all rights reserved. OpenCores®, registered trademark.