OpenCores
URL https://opencores.org/ocsvn/tinycpu/tinycpu/trunk

Subversion Repositories tinycpu

[/] [tinycpu/] [trunk/] [src/] [alu.vhd] - Diff between revs 15 and 30

Go to most recent revision | Show entire file | Details | Blame | View Log

Rev 15 Rev 30
Line 26... Line 26...
  signal TRData: std_logic;
  signal TRData: std_logic;
begin
begin
  TR <= TRData;
  TR <= TRData;
  process(DataIn1, DataIn2, Op)
  process(DataIn1, DataIn2, Op)
  begin
  begin
 
    --TRData <='0'; --default
    case Op is
    case Op is
--bitwise operations
--bitwise operations
      when "00000" => --and
      when "00000" => --and
        DataOut <= DataIn1 and DataIn2;
        DataOut <= DataIn1 and DataIn2;
      when "00001" => --or
      when "00001" => --or

powered by: WebSVN 2.1.0

© copyright 1999-2024 OpenCores.org, equivalent to Oliscience, all rights reserved. OpenCores®, registered trademark.