OpenCores
URL https://opencores.org/ocsvn/tinycpu/tinycpu/trunk

Subversion Repositories tinycpu

[/] [tinycpu/] [trunk/] [src/] [core.vhd] - Diff between revs 23 and 24

Go to most recent revision | Show entire file | Details | Blame | View Log

Rev 23 Rev 24
Line 237... Line 237...
        MemWW <= '0';
        MemWW <= '0';
 
 
        --actual decoding
        --actual decoding
        case opmain is
        case opmain is
          when "0000" => --mov reg,imm
          when "0000" => --mov reg,imm
 
            --if to_integer(unsigned(opreg1)) = REGIP then
 
 
            RegIn(to_integer(unsigned(opreg1))) <= opimmd;
            RegIn(to_integer(unsigned(opreg1))) <= opimmd;
            RegWE(to_integer(unsigned(opreg1))) <= '1';
            RegWE(to_integer(unsigned(opreg1))) <= '1';
          when others =>
          when others =>
            --synthesis off
            --synthesis off
            report "Not implemented" severity error;
            report "Not implemented" severity error;

powered by: WebSVN 2.1.0

© copyright 1999-2024 OpenCores.org, equivalent to Oliscience, all rights reserved. OpenCores®, registered trademark.