OpenCores
URL https://opencores.org/ocsvn/tinycpu/tinycpu/trunk

Subversion Repositories tinycpu

[/] [tinycpu/] [trunk/] [src/] [fetch.vhd] - Diff between revs 21 and 22

Show entire file | Details | Blame | View Log

Rev 21 Rev 22
Line 25... Line 25...
end fetch;
end fetch;
 
 
architecture Behavioral of fetch is
architecture Behavioral of fetch is
  signal IR: std_logic_vector(15 downto 0);
  signal IR: std_logic_vector(15 downto 0);
begin
begin
  process(Clock, AddressIn, DataIn)
  process(Clock, AddressIn, DataIn, Enable)
  begin
  begin
    --if(rising_edge(Clock)) then
    --if(rising_edge(Clock)) then
      if(Enable='1') then
      if(Enable='1') then
        IR <= DataIn;
        IR <= DataIn;
        AddressOut <= AddressIn;
        AddressOut <= AddressIn;
      else
      else
 
        IR <= x"FFFF"; --avoid a latch
        AddressOut <= "ZZZZZZZZZZZZZZZZ";
        AddressOut <= "ZZZZZZZZZZZZZZZZ";
      end if;
      end if;
    --end if;
    --end if;
  end process;
  end process;
  --AddressOut <= AddressIn when Enable='1' else "ZZZZZZZZZZZZZZZZ";
  --AddressOut <= AddressIn when Enable='1' else "ZZZZZZZZZZZZZZZZ";

powered by: WebSVN 2.1.0

© copyright 1999-2024 OpenCores.org, equivalent to Oliscience, all rights reserved. OpenCores®, registered trademark.