OpenCores
URL https://opencores.org/ocsvn/tinycpu/tinycpu/trunk

Subversion Repositories tinycpu

[/] [tinycpu/] [trunk/] [src/] [memory.vhd] - Diff between revs 18 and 19

Go to most recent revision | Show entire file | Details | Blame | View Log

Rev 18 Rev 19
Line 69... Line 69...
          we <= "10";
          we <= "10";
          datawrite <= DataIn(7 downto 0) & x"00";
          datawrite <= DataIn(7 downto 0) & x"00";
        end if;
        end if;
      end if;
      end if;
    else
    else
 
      datawrite <= x"0000";
      we <= "00";
      we <= "00";
    end if;
    end if;
  end process;
  end process;
 
 
  assignram: process (we, datawrite, addr, r1out)
  assignram: process (we, datawrite, addr, r1out)

powered by: WebSVN 2.1.0

© copyright 1999-2024 OpenCores.org, equivalent to Oliscience, all rights reserved. OpenCores®, registered trademark.