OpenCores
URL https://opencores.org/ocsvn/tinycpu/tinycpu/trunk

Subversion Repositories tinycpu

[/] [tinycpu/] [trunk/] [src/] [registerfile.vhd] - Diff between revs 19 and 27

Go to most recent revision | Show entire file | Details | Blame | View Log

Rev 19 Rev 27
Line 25... Line 25...
  --attribute ram_style of registers: signal is "distributed";
  --attribute ram_style of registers: signal is "distributed";
begin
begin
  regs: for I in 0 to 15 generate
  regs: for I in 0 to 15 generate
    process(WriteEnable(I), DataIn(I), Clock)
    process(WriteEnable(I), DataIn(I), Clock)
    begin
    begin
      if rising_edge(Clock) then
      if falling_edge(Clock) then --I really hope this one falling_edge component doesn't bite me in the ass later
        if(WriteEnable(I) = '1') then
        if(WriteEnable(I) = '1') then
          registers(I) <= DataIn(I);
          registers(I) <= DataIn(I);
        end if;
        end if;
      end if;
      end if;
    end process;
    end process;

powered by: WebSVN 2.1.0

© copyright 1999-2024 OpenCores.org, equivalent to Oliscience, all rights reserved. OpenCores®, registered trademark.