OpenCores
URL https://opencores.org/ocsvn/tinycpu/tinycpu/trunk

Subversion Repositories tinycpu

[/] [tinycpu/] [trunk/] [src/] [registerfile.vhd] - Diff between revs 3 and 5

Go to most recent revision | Show entire file | Details | Blame | View Log

Rev 3 Rev 5
Line 3... Line 3...
use IEEE.NUMERIC_STD.ALL;
use IEEE.NUMERIC_STD.ALL;
use ieee.std_logic_unsigned.all;
use ieee.std_logic_unsigned.all;
 
 
entity registerfile is
entity registerfile is
  port(
  port(
    Write:in std_logic_vector(7 downto 0); --what should be put into the write register
    Write1:in std_logic_vector(7 downto 0); --what should be put into the write register
    SelRead1:in std_logic_vector(2 downto 0); --select which register to read
    Write2: in std_logic_vector(7 downto 0);
    SelRead2: in std_logic_vector(2 downto 0); --select second register to read
    SelRead1:in std_logic_vector(3 downto 0); --select which register to read
    SelWrite:in std_logic_vector(2 downto 0); --select which register to write
    SelRead2: in std_logic_vector(3 downto 0); --select second register to read
    UseWrite:in std_logic; --if the register should actually be written to
    SelWrite1:in std_logic_vector(3 downto 0); --select which register to write
 
    SelWrite2:in std_logic_vector(3 downto 0);
 
    UseWrite1:in std_logic; --if the register should actually be written to
 
    UseWrite2: in std_logic;
    Clock:in std_logic;
    Clock:in std_logic;
    Read1:out std_logic_vector(7 downto 0); --register to be read output
    Read1:out std_logic_vector(7 downto 0); --register to be read output
    Read2:out std_logic_vector(7 downto 0) --register to be read on second output 
    Read2:out std_logic_vector(7 downto 0) --register to be read on second output 
  );
  );
end registerfile;
end registerfile;
 
 
architecture Behavioral of registerfile is
architecture Behavioral of registerfile is
  type registerstype is array(0 to 7) of std_logic_vector(7 downto 0);
  type registerstype is array(0 to 15) of std_logic_vector(7 downto 0);
  signal registers: registerstype;
  signal registers: registerstype;
begin
begin
  writereg: process(Write, SelWrite, UseWrite, Clock)
  writereg: process(Write1, Write2, SelWrite1, SelWrite2, UseWrite1, UseWrite2, Clock)
  begin
  begin
    if(UseWrite='1') then
    if(UseWrite1='1') then
      if(rising_edge(clock)) then
      if(rising_edge(clock)) then
        registers(conv_integer(SelWrite)) <= Write;
        registers(conv_integer(SelWrite1)) <= Write1;
 
      end if;
 
    end if;
 
    if(UseWrite2='1') then
 
      if(rising_edge(clock) and conv_integer(SelWrite1)/=conv_integer(SelWrite2)) then
 
        registers(conv_integer(SelWrite2)) <= Write2;
      end if;
      end if;
    end if;
    end if;
  end process;
  end process;
  Read1 <= registers(conv_integer(SelRead1));
  Read1 <= registers(conv_integer(SelRead1));
  Read2 <= registers(conv_integer(SelRead2));
  Read2 <= registers(conv_integer(SelRead2));

powered by: WebSVN 2.1.0

© copyright 1999-2024 OpenCores.org, equivalent to Oliscience, all rights reserved. OpenCores®, registered trademark.