OpenCores
URL https://opencores.org/ocsvn/tinycpu/tinycpu/trunk

Subversion Repositories tinycpu

[/] [tinycpu/] [trunk/] [testbench/] [registerfile_tb.vhd] - Diff between revs 5 and 12

Go to most recent revision | Show entire file | Details | Blame | View Log

Rev 5 Rev 12
Line 1... Line 1...
LIBRARY ieee;
LIBRARY ieee;
USE ieee.std_logic_1164.ALL;
USE ieee.std_logic_1164.ALL;
USE ieee.numeric_std.ALL;
USE ieee.numeric_std.ALL;
 
use work.tinycpu.all;
 
 
ENTITY registerfile_tb IS
ENTITY registerfile_tb IS
END registerfile_tb;
END registerfile_tb;
 
 
ARCHITECTURE behavior OF registerfile_tb IS
ARCHITECTURE behavior OF registerfile_tb IS
 
 
-- Component Declaration for the Unit Under Test (UUT)
-- Component Declaration for the Unit Under Test (UUT)
 
 
  component registerfile
  component registerfile
    port(
    port(
      Write1:in std_logic_vector(7 downto 0); --what should be put into the write register
    WriteEnable: in regwritetype;
      Write2: in std_logic_vector(7 downto 0);
    DataIn: in regdatatype;
      SelRead1:in std_logic_vector(3 downto 0); --select which register to read
 
      SelRead2: in std_logic_vector(3 downto 0); --select second register to read
 
      SelWrite1:in std_logic_vector(3 downto 0); --select which register to write
 
      SelWrite2:in std_logic_vector(3 downto 0);
 
      UseWrite1:in std_logic; --if the register should actually be written to
 
      UseWrite2: in std_logic;
 
      Clock:in std_logic;
      Clock:in std_logic;
      Read1:out std_logic_vector(7 downto 0); --register to be read output
    DataOut: out regdatatype
      Read2:out std_logic_vector(7 downto 0) --register to be read on second output 
 
  );
  );
  end component;
  end component;
 
 
 
 
  --Inputs
  --Inputs
  signal Write1 : std_logic_vector(7 downto 0) := (others => '0');
  signal WriteEnable : regwritetype := (others => '0');
  signal Write2 : std_logic_vector(7 downto 0) := (others => '0');
  signal DataIn: regdatatype := (others => "00000000");
  signal SelRead1: std_logic_vector(3 downto 0) := (others => '0');
 
  signal SelRead2: std_logic_vector(3 downto 0) := (others => '0');
 
  signal SelWrite1: std_logic_vector(3 downto 0) := (others => '0');
 
  signal SelWrite2: std_logic_vector(3 downto 0) := (others => '0');
 
  signal UseWrite1: std_logic := '0';
 
  signal UseWrite2: std_logic := '0';
 
 
 
  --Outputs
  --Outputs
  signal Read1 : std_logic_vector(7 downto 0);
  signal DataOut: regdatatype := (others => "00000000");
  signal Read2 : std_logic_vector(7 downto 0);
 
 
 
  signal Clock: std_logic;
  signal Clock: std_logic;
  constant clock_period : time := 10 ns;
  constant clock_period : time := 10 ns;
 
 
BEGIN
BEGIN
 
 
  -- Instantiate the Unit Under Test (UUT)
  -- Instantiate the Unit Under Test (UUT)
  uut: registerfile PORT MAP (
  uut: registerfile PORT MAP (
    Write1 => Write1,
    WriteEnable => WriteEnable,
    Write2 => Write2,
    DataIn => DataIn,
    SelRead1 => SelRead1,
 
    SelRead2 => SelRead2,
 
    SelWrite1 => SelWrite1,
 
    SelWrite2 => SelWrite2,
 
    UseWrite1 => UseWrite1,
 
    UseWrite2 => UseWrite2,
 
    Clock => Clock,
    Clock => Clock,
    Read1 => Read1,
    DataOut => DataOut
    Read2 => Read2
 
  );
  );
 
 
  -- Clock process definitions
  -- Clock process definitions
  clock_process :process
  clock_process :process
  begin
  begin
Line 78... Line 58...
    wait for 100 ns;
    wait for 100 ns;
 
 
    wait for clock_period*10;
    wait for clock_period*10;
 
 
    -- case 1
    -- case 1
    SelWrite1 <= "0000";
    WriteEnable(1) <= '1';
    Write1 <= "11110000";
    DataIn(1) <= "11110000";
    UseWrite1 <= '1';
 
    wait for 10 ns;
    wait for 10 ns;
    SelRead1 <= "0000";
    WriteEnable(1) <= '0';
    UseWrite1 <= '0';
 
    wait for 10 ns;
    wait for 10 ns;
    assert (Read1="11110000") report "Storage error case 1" severity error;
    assert (DataOut(1)="11110000") report "Storage error case 1" severity error;
 
 
    -- case 2
    -- case 2
    SelWrite1 <= "1000";
    WriteEnable(5) <= '1';
    Write1 <= "11110001";
    DataIn(5) <= "11110001";
    UseWrite1 <= '1';
 
    wait for 10 ns;
    wait for 10 ns;
    SelRead1 <= "1000";
    WriteEnable(5) <= '0';
    UseWrite1 <= '0';
 
    wait for 10 ns;
    wait for 10 ns;
    assert (Read1="11110001") report "Storage selector error case 2" severity error;
    assert (DataOut(5)="11110001") report "Storage selector error case 2" severity error;
 
 
    -- case 3
    -- case 3;
    SelRead1 <= "0000";
 
    UseWrite1 <= '0';
 
    wait for 10 ns;
    wait for 10 ns;
    assert (Read1="11110000") report "Storage selector(remembering) error case 3" severity error;
    assert (DataOut(1)="11110000") report "Storage selector(remembering) error case 3" severity error;
 
 
    --case 4
    --case 4
    SelWrite1 <= x"0";
    DataIn(0) <= x"12";
    SelWrite2 <= x"1";
    DataIn(1) <= x"34";
    Write1 <= x"12";
    WriteEnable(0) <= '1';
    Write2 <= x"34";
    WriteEnable(1) <= '1';
    UseWrite1 <= '1';
    wait for 10 ns;
    UseWrite2 <= '1';
    DataIn(0) <= x"90";
    wait for 10 ns;
    WriteEnable(0) <= '0';
    UseWrite1 <= '0';
    WriteEnable(1) <= '0';
    UseWrite2 <= '0';
 
    SelRead1 <= x"0";
 
    SelRead2 <= x"1";
 
    wait for 10 ns;
 
    assert (Read1=x"12" and Read2=x"34") report "simultaneous write and read error case 4" severity error;
 
 
 
    SelWrite1 <= x"0";
 
    SelWrite2 <= x"0";
 
    Write1 <= x"ff";
 
    Write2 <= x"00";
 
    UseWrite1 <= '1';
 
    UseWrite2 <= '1';
 
    wait for 10 ns;
 
    SelRead1 <= x"0";
 
    UseWrite1 <= '0';
 
    UseWrite2 <= '0';
 
    wait for 10 ns;
    wait for 10 ns;
    assert (Read1=x"ff") report "dual-write error handling error case 5" severity error;
    assert (DataOut(0)=x"12" and DataOut(1)=x"34") report "simultaneous write and read error case 4" severity error;
 
 
 
 
 
 
 
 
    -- summary of testbench
    -- summary of testbench
    assert false
    assert false

powered by: WebSVN 2.1.0

© copyright 1999-2024 OpenCores.org, equivalent to Oliscience, all rights reserved. OpenCores®, registered trademark.