OpenCores
URL https://opencores.org/ocsvn/tinycpu/tinycpu/trunk

Subversion Repositories tinycpu

[/] [tinycpu/] [trunk/] [testbench/] [top_tb.vhd] - Diff between revs 37 and 39

Go to most recent revision | Show entire file | Details | Blame | View Log

Rev 37 Rev 39
Line 69... Line 69...
  -- Stimulus process
  -- Stimulus process
  stim_proc: process
  stim_proc: process
    variable err_cnt: integer :=0;
    variable err_cnt: integer :=0;
  begin
  begin
    -- hold reset state for 100 ns.
    -- hold reset state for 100 ns.
 
    Reset <= '0';
 
    wait for 10 ns;
    Reset <= '1';
    Reset <= '1';
    wait for 20 ns;
    wait for 200 ns;
    Hold <= '1';
    Hold <= '1';
    wait for 10 ns;
    wait for 10 ns;
    assert (HoldAck ='1') report "HoldAck not becoming high" severity error;
    assert (HoldAck ='1') report "HoldAck not becoming high" severity error;
    --load memory image
    --load memory image
    DMA <= '1';
    DMA <= '1';

powered by: WebSVN 2.1.0

© copyright 1999-2024 OpenCores.org, equivalent to Oliscience, all rights reserved. OpenCores®, registered trademark.