OpenCores
URL https://opencores.org/ocsvn/tinyvliw8/tinyvliw8/trunk

Subversion Repositories tinyvliw8

[/] [tinyvliw8/] [trunk/] [src/] [vhdl/] [proc/] [vliwProc.vhd] - Diff between revs 2 and 9

Show entire file | Details | Blame | View Log

Rev 2 Rev 9
Line 1... Line 1...
-----------------------------------------------------------------
-------------------------------------------------------------------------------
--
--
-- Design:  tinyVLIW8 soft-core processor
-- Design:  tinyVLIW8 soft-core processor
-- Author:  Oliver Stecklina <stecklina@ihp-microelectronics.com>
-- Author:  Oliver Stecklina <stecklina@ihp-microelectronics.com>
-- Date:    24.10.2013 
-- Date:    24.10.2013 
-- File:    vliwProc.vhd
-- File:    vliwProc.vhd
--
--
-----------------------------------------------------------------
-------------------------------------------------------------------------------
--
--
-- Description : This unit is the VLIW processor core.
-- Description : This unit is the VLIW processor core.
--
--
-----------------------------------------------------------------
-------------------------------------------------------------------------------
--
--
--    Copyright (C) 2015 IHP GmbH, Frankfurt (Oder), Germany
--    Copyright (C) 2015 IHP GmbH, Frankfurt (Oder), Germany
--
--
-- This code is free software. It is licensed under the EUPL, Version 1.1
-- This code is free software. It is licensed under the EUPL, Version 1.1
-- or - as soon they will be approved by the European Commission - subsequent
-- or - as soon they will be approved by the European Commission - subsequent
Line 27... Line 27...
-- distributed under the License is distributed on an "AS IS" basis,
-- distributed under the License is distributed on an "AS IS" basis,
-- WITHOUT WARRANTIES OR CONDITIONS OF ANY KIND, either express or implied.
-- WITHOUT WARRANTIES OR CONDITIONS OF ANY KIND, either express or implied.
-- See the License for the specific language governing permissions and
-- See the License for the specific language governing permissions and
-- limitations under the License.
-- limitations under the License.
--
--
-----------------------------------------------------------------
-------------------------------------------------------------------------------
 
 
library ieee;
library ieee;
 
 
use ieee.std_logic_1164.all;
use ieee.std_logic_1164.all;
use ieee.std_logic_arith.all;
use ieee.std_logic_arith.all;
Line 381... Line 381...
        instMemEn_n   <= instMemEn_n_s;
        instMemEn_n   <= instMemEn_n_s;
        instDataIn_s  <= instMemDataIn;
        instDataIn_s  <= instMemDataIn;
 
 
        -- irqEn <= statusRegData_s(0);
        -- irqEn <= statusRegData_s(0);
 
 
        instMemEn_n_s <= '1' when rst_n = '0' or state_s(3) = '1' or
        instMemEn_n_s <= '1' when rst_n = '0' or state_s(3) = '1' or (stall_n_s = '0') else
                                  (stall_n_s = '0') else
 
                         '0';
                         '0';
--      instMemClk_s <= '1' when state_s(0) = '1' or state_s(2) = '1' else
 
--                      '0';
 
 
 
--      stateGen_proc : process(rst_n, instMemClk_s)
 
--      begin
 
--              if (rst_n = '0') then
 
--                      instMemEn_n_s <= '1';
 
--              else
 
--                      if (instMemClk_s'event and instMemClk_s = '1') then
 
--                              instMemEn_n_s <= not(instMemEn_n_s);
 
--                      end if;
 
--              end if;
 
--      end process;
 
 
 
        ------------------------------------------------------------------------------------------
        ------------------------------------------------------------------------------------------
        --
        --
        -- register Set
        -- register Set
        --
        --

powered by: WebSVN 2.1.0

© copyright 1999-2024 OpenCores.org, equivalent to Oliscience, all rights reserved. OpenCores®, registered trademark.