OpenCores
URL https://opencores.org/ocsvn/ts7300_opencore/ts7300_opencore/trunk

Subversion Repositories ts7300_opencore

[/] [ts7300_opencore/] [trunk/] [pll.v] - Diff between revs 2 and 5

Show entire file | Details | Blame | View Log

Rev 2 Rev 5
Line 1... Line 1...
 
// megafunction wizard: %ALTPLL%
 
// GENERATION: STANDARD
 
// VERSION: WM1.0
 
// MODULE: altpll 
 
 
 
// ============================================================
 
// File Name: pll.v
 
// Megafunction Name(s):
 
//                      altpll
 
// ============================================================
 
// ************************************************************
 
// THIS IS A WIZARD-GENERATED FILE. DO NOT EDIT THIS FILE!
 
//
 
// 6.0 Build 178 04/27/2006 SJ Web Edition
 
// ************************************************************
 
 
 
 
 
//Copyright (C) 1991-2006 Altera Corporation
 
//Your use of Altera Corporation's design tools, logic functions 
 
//and other software and tools, and its AMPP partner logic 
 
//functions, and any output files any of the foregoing 
 
//(including device programming or simulation files), and any 
 
//associated documentation or information are expressly subject 
 
//to the terms and conditions of the Altera Program License 
 
//Subscription Agreement, Altera MegaCore Function License 
 
//Agreement, or other applicable license agreement, including, 
 
//without limitation, that your use is for the sole purpose of 
 
//programming logic devices manufactured by Altera and sold by 
 
//Altera or its authorized distributors.  Please refer to the 
 
//applicable agreement for further details.
 
 
 
 
 
// synopsys translate_off
 
`timescale 1 ps / 1 ps
 
// synopsys translate_on
 
module pll (
 
        inclk0,
 
        c0,
 
        c1,
 
        locked);
 
 
 
        input     inclk0;
 
        output    c0;
 
        output    c1;
 
        output    locked;
 
 
 
        wire [5:0] sub_wire0;
 
        wire  sub_wire3;
 
        wire [0:0] sub_wire6 = 1'h0;
 
        wire [1:1] sub_wire2 = sub_wire0[1:1];
 
        wire [0:0] sub_wire1 = sub_wire0[0:0];
 
        wire  c0 = sub_wire1;
 
        wire  c1 = sub_wire2;
 
        wire  locked = sub_wire3;
 
        wire  sub_wire4 = inclk0;
 
        wire [1:0] sub_wire5 = {sub_wire6, sub_wire4};
 
 
 
        altpll  altpll_component (
 
                                .inclk (sub_wire5),
 
                                .clk (sub_wire0),
 
                                .locked (sub_wire3),
 
                                .activeclock (),
 
                                .areset (1'b0),
 
                                .clkbad (),
 
                                .clkena ({6{1'b1}}),
 
                                .clkloss (),
 
                                .clkswitch (1'b0),
 
                                .enable0 (),
 
                                .enable1 (),
 
                                .extclk (),
 
                                .extclkena ({4{1'b1}}),
 
                                .fbin (1'b1),
 
                                .pfdena (1'b1),
 
                                .pllena (1'b1),
 
                                .scanaclr (1'b0),
 
                                .scanclk (1'b0),
 
                                .scandata (1'b0),
 
                                .scandataout (),
 
                                .scandone (),
 
                                .scanread (1'b0),
 
                                .scanwrite (1'b0),
 
                                .sclkout0 (),
 
                                .sclkout1 ());
 
        defparam
 
                altpll_component.clk0_divide_by = 1,
 
                altpll_component.clk0_duty_cycle = 50,
 
                altpll_component.clk0_multiply_by = 6,
 
                altpll_component.clk0_phase_shift = "0",
 
                altpll_component.clk1_divide_by = 1,
 
                altpll_component.clk1_duty_cycle = 50,
 
                altpll_component.clk1_multiply_by = 3,
 
                altpll_component.clk1_phase_shift = "0",
 
                altpll_component.gate_lock_counter = 2500,
 
                altpll_component.gate_lock_signal = "YES",
 
                altpll_component.inclk0_input_frequency = 40000,
 
                altpll_component.intended_device_family = "Cyclone II",
 
                altpll_component.invalid_lock_multiplier = 5,
 
                altpll_component.lpm_type = "altpll",
 
                altpll_component.operation_mode = "NO_COMPENSATION",
 
                altpll_component.pll_type = "FAST",
 
                altpll_component.port_activeclock = "PORT_UNUSED",
 
                altpll_component.port_areset = "PORT_UNUSED",
 
                altpll_component.port_clkbad0 = "PORT_UNUSED",
 
                altpll_component.port_clkbad1 = "PORT_UNUSED",
 
                altpll_component.port_clkloss = "PORT_UNUSED",
 
                altpll_component.port_clkswitch = "PORT_UNUSED",
 
                altpll_component.port_fbin = "PORT_UNUSED",
 
                altpll_component.port_inclk0 = "PORT_USED",
 
                altpll_component.port_inclk1 = "PORT_UNUSED",
 
                altpll_component.port_locked = "PORT_USED",
 
                altpll_component.port_pfdena = "PORT_UNUSED",
 
                altpll_component.port_pllena = "PORT_UNUSED",
 
                altpll_component.port_scanaclr = "PORT_UNUSED",
 
                altpll_component.port_scanclk = "PORT_UNUSED",
 
                altpll_component.port_scandata = "PORT_UNUSED",
 
                altpll_component.port_scandataout = "PORT_UNUSED",
 
                altpll_component.port_scandone = "PORT_UNUSED",
 
                altpll_component.port_scanread = "PORT_UNUSED",
 
                altpll_component.port_scanwrite = "PORT_UNUSED",
 
                altpll_component.port_clk0 = "PORT_USED",
 
                altpll_component.port_clk1 = "PORT_USED",
 
                altpll_component.port_clk2 = "PORT_UNUSED",
 
                altpll_component.port_clk3 = "PORT_UNUSED",
 
                altpll_component.port_clk4 = "PORT_UNUSED",
 
                altpll_component.port_clk5 = "PORT_UNUSED",
 
                altpll_component.port_clkena0 = "PORT_UNUSED",
 
                altpll_component.port_clkena1 = "PORT_UNUSED",
 
                altpll_component.port_clkena2 = "PORT_UNUSED",
 
                altpll_component.port_clkena3 = "PORT_UNUSED",
 
                altpll_component.port_clkena4 = "PORT_UNUSED",
 
                altpll_component.port_clkena5 = "PORT_UNUSED",
 
                altpll_component.port_enable0 = "PORT_UNUSED",
 
                altpll_component.port_enable1 = "PORT_UNUSED",
 
                altpll_component.port_extclk0 = "PORT_UNUSED",
 
                altpll_component.port_extclk1 = "PORT_UNUSED",
 
                altpll_component.port_extclk2 = "PORT_UNUSED",
 
                altpll_component.port_extclk3 = "PORT_UNUSED",
 
                altpll_component.port_extclkena0 = "PORT_UNUSED",
 
                altpll_component.port_extclkena1 = "PORT_UNUSED",
 
                altpll_component.port_extclkena2 = "PORT_UNUSED",
 
                altpll_component.port_extclkena3 = "PORT_UNUSED",
 
                altpll_component.port_sclkout0 = "PORT_UNUSED",
 
                altpll_component.port_sclkout1 = "PORT_UNUSED",
 
                altpll_component.valid_lock_multiplier = 1;
 
 
 
 
 
endmodule
 
 
 
// ============================================================
 
// CNX file retrieval info
 
// ============================================================
 
// Retrieval info: PRIVATE: ACTIVECLK_CHECK STRING "0"
 
// Retrieval info: PRIVATE: BANDWIDTH STRING "1.000"
 
// Retrieval info: PRIVATE: BANDWIDTH_FEATURE_ENABLED STRING "0"
 
// Retrieval info: PRIVATE: BANDWIDTH_FREQ_UNIT STRING "MHz"
 
// Retrieval info: PRIVATE: BANDWIDTH_PRESET STRING "Low"
 
// Retrieval info: PRIVATE: BANDWIDTH_USE_AUTO STRING "1"
 
// Retrieval info: PRIVATE: BANDWIDTH_USE_CUSTOM STRING "0"
 
// Retrieval info: PRIVATE: BANDWIDTH_USE_PRESET STRING "0"
 
// Retrieval info: PRIVATE: CLKBAD_SWITCHOVER_CHECK STRING "0"
 
// Retrieval info: PRIVATE: CLKLOSS_CHECK STRING "0"
 
// Retrieval info: PRIVATE: CLKSWITCH_CHECK STRING "1"
 
// Retrieval info: PRIVATE: CNX_NO_COMPENSATE_RADIO STRING "1"
 
// Retrieval info: PRIVATE: CREATE_CLKBAD_CHECK STRING "0"
 
// Retrieval info: PRIVATE: CREATE_INCLK1_CHECK STRING "0"
 
// Retrieval info: PRIVATE: CUR_DEDICATED_CLK STRING "c0"
 
// Retrieval info: PRIVATE: CUR_FBIN_CLK STRING "e0"
 
// Retrieval info: PRIVATE: DEVICE_SPEED_GRADE STRING "8"
 
// Retrieval info: PRIVATE: DEV_FAMILY STRING "Cyclone II"
 
// Retrieval info: PRIVATE: DIV_FACTOR0 NUMERIC "1"
 
// Retrieval info: PRIVATE: DIV_FACTOR1 NUMERIC "1"
 
// Retrieval info: PRIVATE: DUTY_CYCLE0 STRING "50.00000000"
 
// Retrieval info: PRIVATE: DUTY_CYCLE1 STRING "50.00000000"
 
// Retrieval info: PRIVATE: EXT_FEEDBACK_RADIO STRING "0"
 
// Retrieval info: PRIVATE: GLOCKED_COUNTER_EDIT_CHANGED STRING "1"
 
// Retrieval info: PRIVATE: GLOCKED_FEATURE_ENABLED STRING "1"
 
// Retrieval info: PRIVATE: GLOCKED_MODE_CHECK STRING "1"
 
// Retrieval info: PRIVATE: GLOCK_COUNTER_EDIT NUMERIC "2500"
 
// Retrieval info: PRIVATE: HAS_MANUAL_SWITCHOVER STRING "1"
 
// Retrieval info: PRIVATE: INCLK0_FREQ_EDIT STRING "25.000"
 
// Retrieval info: PRIVATE: INCLK0_FREQ_UNIT_COMBO STRING "MHz"
 
// Retrieval info: PRIVATE: INCLK1_FREQ_EDIT STRING "25.000"
 
// Retrieval info: PRIVATE: INCLK1_FREQ_EDIT_CHANGED STRING "1"
 
// Retrieval info: PRIVATE: INCLK1_FREQ_UNIT_CHANGED STRING "1"
 
// Retrieval info: PRIVATE: INCLK1_FREQ_UNIT_COMBO STRING "MHz"
 
// Retrieval info: PRIVATE: INT_FEEDBACK__MODE_RADIO STRING "1"
 
// Retrieval info: PRIVATE: LOCKED_OUTPUT_CHECK STRING "1"
 
// Retrieval info: PRIVATE: LONG_SCAN_RADIO STRING "1"
 
// Retrieval info: PRIVATE: LVDS_MODE_DATA_RATE STRING "Not Available"
 
// Retrieval info: PRIVATE: LVDS_MODE_DATA_RATE_DIRTY NUMERIC "0"
 
// Retrieval info: PRIVATE: LVDS_PHASE_SHIFT_UNIT0 STRING "deg"
 
// Retrieval info: PRIVATE: LVDS_PHASE_SHIFT_UNIT1 STRING "ps"
 
// Retrieval info: PRIVATE: MIRROR_CLK0 STRING "0"
 
// Retrieval info: PRIVATE: MIRROR_CLK1 STRING "0"
 
// Retrieval info: PRIVATE: MULT_FACTOR0 NUMERIC "6"
 
// Retrieval info: PRIVATE: MULT_FACTOR1 NUMERIC "3"
 
// Retrieval info: PRIVATE: NORMAL_MODE_RADIO STRING "0"
 
// Retrieval info: PRIVATE: OUTPUT_FREQ0 STRING "75.00000000"
 
// Retrieval info: PRIVATE: OUTPUT_FREQ1 STRING "100.00000000"
 
// Retrieval info: PRIVATE: OUTPUT_FREQ_MODE0 STRING "0"
 
// Retrieval info: PRIVATE: OUTPUT_FREQ_MODE1 STRING "0"
 
// Retrieval info: PRIVATE: OUTPUT_FREQ_UNIT0 STRING "MHz"
 
// Retrieval info: PRIVATE: OUTPUT_FREQ_UNIT1 STRING "MHz"
 
// Retrieval info: PRIVATE: PHASE_SHIFT0 STRING "0.00000000"
 
// Retrieval info: PRIVATE: PHASE_SHIFT1 STRING "0.00000000"
 
// Retrieval info: PRIVATE: PHASE_SHIFT_UNIT0 STRING "deg"
 
// Retrieval info: PRIVATE: PHASE_SHIFT_UNIT1 STRING "ps"
 
// Retrieval info: PRIVATE: PLL_ADVANCED_PARAM_CHECK STRING "0"
 
// Retrieval info: PRIVATE: PLL_ARESET_CHECK STRING "0"
 
// Retrieval info: PRIVATE: PLL_AUTOPLL_CHECK NUMERIC "1"
 
// Retrieval info: PRIVATE: PLL_ENA_CHECK STRING "0"
 
// Retrieval info: PRIVATE: PLL_ENHPLL_CHECK NUMERIC "0"
 
// Retrieval info: PRIVATE: PLL_FASTPLL_CHECK NUMERIC "0"
 
// Retrieval info: PRIVATE: PLL_LVDS_PLL_CHECK NUMERIC "0"
 
// Retrieval info: PRIVATE: PLL_PFDENA_CHECK STRING "0"
 
// Retrieval info: PRIVATE: PLL_TARGET_HARCOPY_CHECK NUMERIC "0"
 
// Retrieval info: PRIVATE: PRIMARY_CLK_COMBO STRING "inclk0"
 
// Retrieval info: PRIVATE: SACN_INPUTS_CHECK STRING "0"
 
// Retrieval info: PRIVATE: SCAN_FEATURE_ENABLED STRING "0"
 
// Retrieval info: PRIVATE: SELF_RESET_LOCK_LOSS STRING "0"
 
// Retrieval info: PRIVATE: SHORT_SCAN_RADIO STRING "0"
 
// Retrieval info: PRIVATE: SPREAD_FEATURE_ENABLED STRING "0"
 
// Retrieval info: PRIVATE: SPREAD_FREQ STRING "50.000"
 
// Retrieval info: PRIVATE: SPREAD_FREQ_UNIT STRING "KHz"
 
// Retrieval info: PRIVATE: SPREAD_PERCENT STRING "0.500"
 
// Retrieval info: PRIVATE: SPREAD_USE STRING "0"
 
// Retrieval info: PRIVATE: SRC_SYNCH_COMP_RADIO STRING "0"
 
// Retrieval info: PRIVATE: STICKY_CLK0 STRING "1"
 
// Retrieval info: PRIVATE: STICKY_CLK1 STRING "1"
 
// Retrieval info: PRIVATE: SWITCHOVER_COUNT_EDIT NUMERIC "1"
 
// Retrieval info: PRIVATE: SWITCHOVER_FEATURE_ENABLED STRING "1"
 
// Retrieval info: PRIVATE: USE_CLK0 STRING "1"
 
// Retrieval info: PRIVATE: USE_CLK1 STRING "1"
 
// Retrieval info: PRIVATE: USE_CLKENA0 STRING "0"
 
// Retrieval info: PRIVATE: USE_CLKENA1 STRING "0"
 
// Retrieval info: PRIVATE: ZERO_DELAY_RADIO STRING "0"
 
// Retrieval info: LIBRARY: altera_mf altera_mf.altera_mf_components.all
 
// Retrieval info: CONSTANT: CLK0_DIVIDE_BY NUMERIC "1"
 
// Retrieval info: CONSTANT: CLK0_DUTY_CYCLE NUMERIC "50"
 
// Retrieval info: CONSTANT: CLK0_MULTIPLY_BY NUMERIC "6"
 
// Retrieval info: CONSTANT: CLK0_PHASE_SHIFT STRING "0"
 
// Retrieval info: CONSTANT: CLK1_DIVIDE_BY NUMERIC "1"
 
// Retrieval info: CONSTANT: CLK1_DUTY_CYCLE NUMERIC "50"
 
// Retrieval info: CONSTANT: CLK1_MULTIPLY_BY NUMERIC "3"
 
// Retrieval info: CONSTANT: CLK1_PHASE_SHIFT STRING "0"
 
// Retrieval info: CONSTANT: GATE_LOCK_COUNTER NUMERIC "2500"
 
// Retrieval info: CONSTANT: GATE_LOCK_SIGNAL STRING "YES"
 
// Retrieval info: CONSTANT: INCLK0_INPUT_FREQUENCY NUMERIC "40000"
 
// Retrieval info: CONSTANT: INTENDED_DEVICE_FAMILY STRING "Cyclone II"
 
// Retrieval info: CONSTANT: INVALID_LOCK_MULTIPLIER NUMERIC "5"
 
// Retrieval info: CONSTANT: LPM_TYPE STRING "altpll"
 
// Retrieval info: CONSTANT: OPERATION_MODE STRING "NO_COMPENSATION"
 
// Retrieval info: CONSTANT: PLL_TYPE STRING "FAST"
 
// Retrieval info: CONSTANT: PORT_ACTIVECLOCK STRING "PORT_UNUSED"
 
// Retrieval info: CONSTANT: PORT_ARESET STRING "PORT_UNUSED"
 
// Retrieval info: CONSTANT: PORT_CLKBAD0 STRING "PORT_UNUSED"
 
// Retrieval info: CONSTANT: PORT_CLKBAD1 STRING "PORT_UNUSED"
 
// Retrieval info: CONSTANT: PORT_CLKLOSS STRING "PORT_UNUSED"
 
// Retrieval info: CONSTANT: PORT_CLKSWITCH STRING "PORT_UNUSED"
 
// Retrieval info: CONSTANT: PORT_FBIN STRING "PORT_UNUSED"
 
// Retrieval info: CONSTANT: PORT_INCLK0 STRING "PORT_USED"
 
// Retrieval info: CONSTANT: PORT_INCLK1 STRING "PORT_UNUSED"
 
// Retrieval info: CONSTANT: PORT_LOCKED STRING "PORT_USED"
 
// Retrieval info: CONSTANT: PORT_PFDENA STRING "PORT_UNUSED"
 
// Retrieval info: CONSTANT: PORT_PLLENA STRING "PORT_UNUSED"
 
// Retrieval info: CONSTANT: PORT_SCANACLR STRING "PORT_UNUSED"
 
// Retrieval info: CONSTANT: PORT_SCANCLK STRING "PORT_UNUSED"
 
// Retrieval info: CONSTANT: PORT_SCANDATA STRING "PORT_UNUSED"
 
// Retrieval info: CONSTANT: PORT_SCANDATAOUT STRING "PORT_UNUSED"
 
// Retrieval info: CONSTANT: PORT_SCANDONE STRING "PORT_UNUSED"
 
// Retrieval info: CONSTANT: PORT_SCANREAD STRING "PORT_UNUSED"
 
// Retrieval info: CONSTANT: PORT_SCANWRITE STRING "PORT_UNUSED"
 
// Retrieval info: CONSTANT: PORT_clk0 STRING "PORT_USED"
 
// Retrieval info: CONSTANT: PORT_clk1 STRING "PORT_USED"
 
// Retrieval info: CONSTANT: PORT_clk2 STRING "PORT_UNUSED"
 
// Retrieval info: CONSTANT: PORT_clk3 STRING "PORT_UNUSED"
 
// Retrieval info: CONSTANT: PORT_clk4 STRING "PORT_UNUSED"
 
// Retrieval info: CONSTANT: PORT_clk5 STRING "PORT_UNUSED"
 
// Retrieval info: CONSTANT: PORT_clkena0 STRING "PORT_UNUSED"
 
// Retrieval info: CONSTANT: PORT_clkena1 STRING "PORT_UNUSED"
 
// Retrieval info: CONSTANT: PORT_clkena2 STRING "PORT_UNUSED"
 
// Retrieval info: CONSTANT: PORT_clkena3 STRING "PORT_UNUSED"
 
// Retrieval info: CONSTANT: PORT_clkena4 STRING "PORT_UNUSED"
 
// Retrieval info: CONSTANT: PORT_clkena5 STRING "PORT_UNUSED"
 
// Retrieval info: CONSTANT: PORT_enable0 STRING "PORT_UNUSED"
 
// Retrieval info: CONSTANT: PORT_enable1 STRING "PORT_UNUSED"
 
// Retrieval info: CONSTANT: PORT_extclk0 STRING "PORT_UNUSED"
 
// Retrieval info: CONSTANT: PORT_extclk1 STRING "PORT_UNUSED"
 
// Retrieval info: CONSTANT: PORT_extclk2 STRING "PORT_UNUSED"
 
// Retrieval info: CONSTANT: PORT_extclk3 STRING "PORT_UNUSED"
 
// Retrieval info: CONSTANT: PORT_extclkena0 STRING "PORT_UNUSED"
 
// Retrieval info: CONSTANT: PORT_extclkena1 STRING "PORT_UNUSED"
 
// Retrieval info: CONSTANT: PORT_extclkena2 STRING "PORT_UNUSED"
 
// Retrieval info: CONSTANT: PORT_extclkena3 STRING "PORT_UNUSED"
 
// Retrieval info: CONSTANT: PORT_sclkout0 STRING "PORT_UNUSED"
 
// Retrieval info: CONSTANT: PORT_sclkout1 STRING "PORT_UNUSED"
 
// Retrieval info: CONSTANT: VALID_LOCK_MULTIPLIER NUMERIC "1"
 
// Retrieval info: USED_PORT: @clk 0 0 6 0 OUTPUT_CLK_EXT VCC "@clk[5..0]"
 
// Retrieval info: USED_PORT: @extclk 0 0 4 0 OUTPUT_CLK_EXT VCC "@extclk[3..0]"
 
// Retrieval info: USED_PORT: c0 0 0 0 0 OUTPUT_CLK_EXT VCC "c0"
 
// Retrieval info: USED_PORT: c1 0 0 0 0 OUTPUT_CLK_EXT VCC "c1"
 
// Retrieval info: USED_PORT: inclk0 0 0 0 0 INPUT_CLK_EXT GND "inclk0"
 
// Retrieval info: USED_PORT: locked 0 0 0 0 OUTPUT GND "locked"
 
// Retrieval info: CONNECT: locked 0 0 0 0 @locked 0 0 0 0
 
// Retrieval info: CONNECT: @inclk 0 0 1 0 inclk0 0 0 0 0
 
// Retrieval info: CONNECT: c0 0 0 0 0 @clk 0 0 1 0
 
// Retrieval info: CONNECT: c1 0 0 0 0 @clk 0 0 1 1
 
// Retrieval info: CONNECT: @inclk 0 0 1 1 GND 0 0 0 0
 
// Retrieval info: GEN_FILE: TYPE_NORMAL pll.v TRUE FALSE
 
// Retrieval info: GEN_FILE: TYPE_NORMAL pll.inc FALSE FALSE
 
// Retrieval info: GEN_FILE: TYPE_NORMAL pll.cmp FALSE FALSE
 
// Retrieval info: GEN_FILE: TYPE_NORMAL pll.bsf TRUE
 
// Retrieval info: GEN_FILE: TYPE_NORMAL pll_inst.v FALSE FALSE
 
// Retrieval info: GEN_FILE: TYPE_NORMAL pll_bb.v TRUE FALSE
 
// Retrieval info: GEN_FILE: TYPE_NORMAL pll_waveforms.html TRUE FALSE
 
// Retrieval info: GEN_FILE: TYPE_NORMAL pll_wave*.jpg FALSE FALSE
 
// Retrieval info: GEN_FILE: TYPE_NORMAL pll.ppf TRUE FALSE
 
 
 No newline at end of file
 No newline at end of file

powered by: WebSVN 2.1.0

© copyright 1999-2024 OpenCores.org, equivalent to Oliscience, all rights reserved. OpenCores®, registered trademark.