OpenCores
URL https://opencores.org/ocsvn/turbo8051/turbo8051/trunk

Subversion Repositories turbo8051

[/] [turbo8051/] [trunk/] [rtl/] [8051/] [oc8051_rom.v] - Diff between revs 57 and 68

Go to most recent revision | Show entire file | Details | Blame | View Log

Rev 57 Rev 68
Line 886... Line 886...
always @(posedge clk or posedge rst)
always @(posedge clk or posedge rst)
 if (rst)
 if (rst)
   ea_int <= #1 1'b1;
   ea_int <= #1 1'b1;
  else ea_int <= #1 !ea;
  else ea_int <= #1 !ea;
 
 
 
`elsif OC8051_ACTEL_ROM
 
 
 
 
`else
`else
 
 
 
 
reg [7:0] buff [0:65535]; //64kb
reg [7:0] buff [0:65535]; //64kb
 
 

powered by: WebSVN 2.1.0

© copyright 1999-2024 OpenCores.org, equivalent to Oliscience, all rights reserved. OpenCores®, registered trademark.