OpenCores
URL https://opencores.org/ocsvn/turbo8051/turbo8051/trunk

Subversion Repositories turbo8051

[/] [turbo8051/] [trunk/] [rtl/] [8051/] [oc8051_top.v] - Diff between revs 61 and 68

Go to most recent revision | Show entire file | Details | Blame | View Log

Rev 61 Rev 68
Line 816... Line 816...
  `endif
  `endif
 
 
`endif
`endif
 
 
 
 
// synopsys translate_on
// synopsys translate_off
// Debug Purpose only
// Debug Purpose only
// Stack Pointer Push & Pop analysis
// Stack Pointer Push & Pop analysis
reg [7:0]   StackMem[$];
reg [7:0]   StackMem[$];
reg  [7:0]  stack_pop;
reg  [7:0]  stack_pop;
reg  [7:0]  pushpop_cnt;
reg  [7:0]  pushpop_cnt;
Line 847... Line 847...
            end
            end
      end
      end
   end
   end
end
end
 
 
// synopsys translate_off
// synopsys translate_on
 
 
endmodule
endmodule
 
 
 No newline at end of file
 No newline at end of file

powered by: WebSVN 2.1.0

© copyright 1999-2024 OpenCores.org, equivalent to Oliscience, all rights reserved. OpenCores®, registered trademark.