OpenCores
URL https://opencores.org/ocsvn/turbo8051/turbo8051/trunk

Subversion Repositories turbo8051

[/] [turbo8051/] [trunk/] [rtl/] [gmac/] [mac/] [g_mac_core.v] - Diff between revs 76 and 77

Show entire file | Details | Blame | View Log

Rev 76 Rev 77
Line 239... Line 239...
//-----------------------------------------------------------------------
//-----------------------------------------------------------------------
wire         tx_sts_vld_o          ;
wire         tx_sts_vld_o          ;
wire   [15:0]tx_sts_byte_cntr_o    ;
wire   [15:0]tx_sts_byte_cntr_o    ;
wire         tx_sts_fifo_underrun_o;
wire         tx_sts_fifo_underrun_o;
// TX Interface Status Signal
// TX Interface Status Signal
wire         tx_set_fifo_undrn_o   ;// Description: At GMII Interface ,
wire         tx_set_fifo_undrn_o   ;
                                    // abug after a transmit fifo underun was found.
 
                                    // The packet after a packet that 
 
                                    // underran has 1 too few bytes .
 
 
 
wire[7:0]        mi2rx_rx_byte,tx2mi_tx_byte;
wire[7:0]        mi2rx_rx_byte,tx2mi_tx_byte;
wire [7:0]  cf2df_dfl_single_rx;
wire [7:0]  cf2df_dfl_single_rx;
wire [15:0] cf2rx_max_pkt_sz;
wire [15:0] cf2rx_max_pkt_sz;
 
 
     g_rx_top   u_rx_top(
     g_rx_top   u_rx_top(
                //application
                //application
                    .app_clk                      (app_clk),
                    .app_clk                      (app_clk),
                    .app_reset_n                    (s_reset_n),      // Condor Change
                    .app_reset_n                    (s_reset_n),
                    .rx_reset_n                     (rx_reset_n),
                    .rx_reset_n                     (rx_reset_n),
                    .scan_mode                    (scan_mode),
                    .scan_mode                    (scan_mode),
 
 
                    .rx_sts_vld                   (rx_sts_vld_o),
                    .rx_sts_vld                   (rx_sts_vld_o),
                    .rx_sts_bytes_rcvd            (rx_sts_bytes_rcvd_o),
                    .rx_sts_bytes_rcvd            (rx_sts_bytes_rcvd_o),
Line 293... Line 290...
                    .cf2rx_snd_crc                (cf2rx_snd_crc),
                    .cf2rx_snd_crc                (cf2rx_snd_crc),
                    .cf2rx_rcv_runt_pkt_en        (cf2rx_runt_pkt_en),
                    .cf2rx_rcv_runt_pkt_en        (cf2rx_runt_pkt_en),
                    .cf_macmode                   (cf_mac_mode_o),
                    .cf_macmode                   (cf_mac_mode_o),
                    .cf2df_dfl_single_rx          (cf2df_dfl_single_rx),
                    .cf2df_dfl_single_rx          (cf2df_dfl_single_rx),
                    .ap2rx_rx_fifo_err            (rx_fifo_error_i),
                    .ap2rx_rx_fifo_err            (rx_fifo_error_i),
                    //A200 change Port added for crs based flow control
                    //for crs based flow control
                    .phy_crs                      (phy_crs)
                    .phy_crs                      (phy_crs)
               );
               );
 
 
    wire [4:0]   cf2md_regad,cf2md_phyad;
    wire [4:0]   cf2md_regad,cf2md_phyad;
    wire [15:0]  cf2md_datain,md2cf_data;
    wire [15:0]  cf2md_datain,md2cf_data;
Line 326... Line 323...
    wire        int_mdio_out;
    wire        int_mdio_out;
    wire        int_mdc;
    wire        int_mdc;
    wire        int_mdio_in;
    wire        int_mdio_in;
 
 
// ------------------------------------------------------------------------
// ------------------------------------------------------------------------
// CONDOR CHANGE
 
// MDIO Enable/disable Mux
// MDIO Enable/disable Mux
// MDIO is used only in the WAN MAC block. The MDIO block has to be disabled
// MDIO is used only in the WAN MAC block. The MDIO block has to be disabled
// in all other places. When MDIO is enabled the MDIO block signals will be
// in all other places. When MDIO is enabled the MDIO block signals will be
// connected to core module appriprotately. If MDIO is disabled, all inputs
// connected to core module appriprotately. If MDIO is disabled, all inputs
// to the MDIO module is made zero and all outputs from this module to other
// to the MDIO module is made zero and all outputs from this module to other
Line 373... Line 369...
// ------------------------------------------------------------------------
// ------------------------------------------------------------------------
 
 
 
 
    g_md_intf u_md_intf(
    g_md_intf u_md_intf(
                  //apllication interface
                  //apllication interface
                    .scan_mode                    (scan_mode), // A200 change
                    .scan_mode                    (scan_mode),
                    .reset_n                      (int_s_reset_n),      // Condor Change
                    .reset_n                      (int_s_reset_n),
 
 
                    .mdio_clk                     (int_mdio_clk),
                    .mdio_clk                     (int_mdio_clk),
                    .mdio_in                      (int_mdio_in),
                    .mdio_in                      (int_mdio_in),
                    .mdio_outen_reg               (int_mdio_out_en),
                    .mdio_outen_reg               (int_mdio_out_en),
                    .mdio_out_reg                 (int_mdio_out),
                    .mdio_out_reg                 (int_mdio_out),
Line 442... Line 438...
                    .app_tx_rdy                   (tx_fifo_rdy_i),
                    .app_tx_rdy                   (tx_fifo_rdy_i),
 
 
                    //MII
                    //MII
                    .mi2tx_byte_ack               (mi2tx_byte_ack),
                    .mi2tx_byte_ack               (mi2tx_byte_ack),
 
 
                    .app_reset_n                    (s_reset_n), // Condor Change
                    .app_reset_n                    (s_reset_n),
                    .tx_reset_n                     (tx_reset_n),
                    .tx_reset_n                     (tx_reset_n),
                    .tx_clk                       (phy_tx_clk)
                    .tx_clk                       (phy_tx_clk)
              );
              );
 
 
    toggle_sync u_rx_sts_sync (
    toggle_sync u_rx_sts_sync (
Line 500... Line 496...
                     // Tx Status
                     // Tx Status
                     . tx_sts_vld(tx_sts_vld_ss),
                     . tx_sts_vld(tx_sts_vld_ss),
                     . tx_sts    (tx_sts_fifo_underrun_o),
                     . tx_sts    (tx_sts_fifo_underrun_o),
 
 
                    // MDIO READ DATA FROM PHY
                    // MDIO READ DATA FROM PHY
                    // CONDOR CHANGE
 
                    // Since MDIO is not required for the half duplex
                    // Since MDIO is not required for the half duplex
                    // MACs the done is always tied to 1'b1
                    // MACs the done is always tied to 1'b1
                    .md2cf_cmd_done               (md2cf_cmd_done),
                    .md2cf_cmd_done               (md2cf_cmd_done),
                    .md2cf_status                 (md2cf_status),
                    .md2cf_status                 (md2cf_status),
                    .md2cf_data                   (md2cf_data),
                    .md2cf_data                   (md2cf_data),
Line 523... Line 518...
                  // Config In
                  // Config In
                    .cfg_uni_mac_mode_change_i    (cfg_uni_mac_mode_change_i),
                    .cfg_uni_mac_mode_change_i    (cfg_uni_mac_mode_change_i),
 
 
                    //CHANNEL enable
                    //CHANNEL enable
                    .cf2tx_ch_en                  (cf2tx_ch_en),
                    .cf2tx_ch_en                  (cf2tx_ch_en),
                    //CHANNEL CONTROL TX
 
                    .cf2df_dfl_single             (cf2df_dfl_single),
                    .cf2df_dfl_single             (cf2df_dfl_single),
                    .cf2df_dfl_single_rx          (cf2df_dfl_single_rx),
                    .cf2df_dfl_single_rx          (cf2df_dfl_single_rx),
                    .cf2tx_pad_enable             (cf2tx_pad_enable),
                    .cf2tx_pad_enable             (cf2tx_pad_enable),
                    .cf2tx_append_fcs             (cf2tx_append_fcs),
                    .cf2tx_append_fcs             (cf2tx_append_fcs),
                    //CHANNEL CONTROL RX
                    //CHANNEL CONTROL RX

powered by: WebSVN 2.1.0

© copyright 1999-2024 OpenCores.org, equivalent to Oliscience, all rights reserved. OpenCores®, registered trademark.