OpenCores
URL https://opencores.org/ocsvn/turbo8051/turbo8051/trunk

Subversion Repositories turbo8051

[/] [turbo8051/] [trunk/] [rtl/] [gmac/] [mac/] [g_tx_top.v] - Diff between revs 76 and 77

Go to most recent revision | Show entire file | Details | Blame | View Log

Rev 76 Rev 77
Line 67... Line 67...
                //MII interface
                //MII interface
                tx2mi_strt_preamble,
                tx2mi_strt_preamble,
                tx2mi_byte_valid,
                tx2mi_byte_valid,
                tx2mi_byte,
                tx2mi_byte,
                tx2mi_end_transmit,
                tx2mi_end_transmit,
                tx_ch_en,            // MANDAR
                tx_ch_en,
 
 
                //Status to application
                //Status to application
                tx_sts_vld,
                tx_sts_vld,
                tx_sts_byte_cntr,
                tx_sts_byte_cntr,
                tx_sts_fifo_underrun,
                tx_sts_fifo_underrun,
Line 132... Line 132...
 
 
   output       tx_sts_vld;      //tx_sts is valid on valid tx_sts_vld
   output       tx_sts_vld;      //tx_sts is valid on valid tx_sts_vld
   output [15:0] tx_sts_byte_cntr;
   output [15:0] tx_sts_byte_cntr;
   output        tx_sts_fifo_underrun;
   output        tx_sts_fifo_underrun;
 
 
   output       tx_ch_en;   // MANDAR
   output       tx_ch_en;
 
 
   output        set_fifo_undrn;// Description: At GMII Interface ,
   output        set_fifo_undrn;
                                // abug after a transmit fifo underun was found.
 
                                // The packet after a packet that 
 
                                // underran has 1 too few bytes .
 
 
 
   input         app_clk;           // condor fix
   input         app_clk;
 
 
   wire [31:0]    tc2tx_fcs;
   wire [31:0]    tc2tx_fcs;
   wire            set_fifo_undrn;// E3C fix
   wire            set_fifo_undrn;
 
 
 
 
 
 
 
 
 
 
Line 162... Line 159...
 
 
 
 
 
 
   // Instantiate Transmit State machine block
   // Instantiate Transmit State machine block
   g_tx_fsm U_tx_fsm(
   g_tx_fsm U_tx_fsm(
           .app_clk(app_clk), // condor fix
           .app_clk(app_clk), /
           .set_fifo_undrn(set_fifo_undrn), // E3C fix
           .set_fifo_undrn(set_fifo_undrn),
 
 
            //Outputs
            //Outputs
           .tx_commit_read(tx_commit_read),
           .tx_commit_read(tx_commit_read),
           .tx_dt_rd(tx_dt_rd),
           .tx_dt_rd(tx_dt_rd),
            //FCS block interface
            //FCS block interface
Line 177... Line 174...
           .tx2mi_strt_preamble(tx2mi_strt_preamble),
           .tx2mi_strt_preamble(tx2mi_strt_preamble),
           .tx2mi_byte_valid(tx2mi_byte_valid),
           .tx2mi_byte_valid(tx2mi_byte_valid),
           .tx2mi_byte(tx2mi_byte),
           .tx2mi_byte(tx2mi_byte),
           .tx2mi_end_transmit(tx2mi_end_transmit),
           .tx2mi_end_transmit(tx2mi_end_transmit),
           .tx_ch_en(tx_ch_en),
           .tx_ch_en(tx_ch_en),
           .phy_tx_en(phy_tx_en), // mfilardo.  for ofn auth fix.
           .phy_tx_en(phy_tx_en),
          //tx fifo management outputs
          //tx fifo management outputs
           .tx_sts_vld(tx_sts_vld),
           .tx_sts_vld(tx_sts_vld),
           .tx_sts_byte_cntr(tx_sts_byte_cntr),
           .tx_sts_byte_cntr(tx_sts_byte_cntr),
                     .tx_sts_fifo_underrun(tx_sts_fifo_underrun),
                     .tx_sts_fifo_underrun(tx_sts_fifo_underrun),
                     .app_tx_rdy(app_tx_rdy),
                     .app_tx_rdy(app_tx_rdy),

powered by: WebSVN 2.1.0

© copyright 1999-2024 OpenCores.org, equivalent to Oliscience, all rights reserved. OpenCores®, registered trademark.